icarus-verilog

Install command:
brew install icarus-verilog

Verilog simulation and synthesis tool

https://steveicarus.github.io/iverilog/

License: GPL-2.0-or-later and LGPL-2.1-or-later

Formula JSON API: /api/formula/icarus-verilog.json

Formula code: icarus-verilog.rb on GitHub

Bottle (binary package) installation support provided for:

Apple Silicon sonoma
ventura
monterey
big sur
Intel sonoma
ventura
monterey
big sur
64-bit linux

Current versions:

stable 12.0
head ⚡️ HEAD

Depends on when building from source:

autoconf 2.72 Automatic configure script builder
bison 3.8.2 Parser generator

Analytics:

Installs (30 days)
icarus-verilog 479
icarus-verilog --HEAD 1
Installs on Request (30 days)
icarus-verilog 480
icarus-verilog --HEAD 1
Build Errors (30 days)
icarus-verilog 0
Installs (90 days)
icarus-verilog 1,238
icarus-verilog --HEAD 2
Installs on Request (90 days)
icarus-verilog 1,239
icarus-verilog --HEAD 2
Installs (365 days)
icarus-verilog 5,180
icarus-verilog --HEAD 20
Installs on Request (365 days)
icarus-verilog 5,179
icarus-verilog --HEAD 20
Fork me on GitHub