icarus-verilog

Install command:
brew install icarus-verilog

Verilog simulation and synthesis tool

https://steveicarus.github.io/iverilog/

License: GPL-2.0-or-later and LGPL-2.1-or-later

Formula JSON API: /api/formula/icarus-verilog.json

Formula code: icarus-verilog.rb on GitHub

Bottle (binary package) installation support provided for:

Apple Silicon sonoma
ventura
monterey
big sur
Intel sonoma
ventura
monterey
big sur
64-bit linux

Current versions:

stable 12.0
head ⚡️ HEAD

Depends on when building from source:

autoconf 2.72 Automatic configure script builder
bison 3.8.2 Parser generator

Analytics:

Installs (30 days)
icarus-verilog 190
icarus-verilog --HEAD 2
Installs on Request (30 days)
icarus-verilog 190
icarus-verilog --HEAD 2
Build Errors (30 days)
icarus-verilog 0
Installs (90 days)
icarus-verilog 761
icarus-verilog --HEAD 5
Installs on Request (90 days)
icarus-verilog 761
icarus-verilog --HEAD 5
Installs (365 days)
icarus-verilog 4,772
icarus-verilog --HEAD 16
Installs on Request (365 days)
icarus-verilog 4,772
icarus-verilog --HEAD 16
Fork me on GitHub