svls

Install command:
brew install svls

SystemVerilog language server

https://github.com/dalance/svls

License: MIT

Formula JSON API: /api/formula/svls.json

Formula code: svls.rb on GitHub

Bottle (binary package) installation support provided for:

Apple Silicon sonoma
ventura
monterey
Intel sonoma
ventura
monterey
64-bit linux

Current versions:

stable 0.2.11
head ⚡️ HEAD

Depends on when building from source:

rust 1.77.2 Safe, concurrent, practical language

Analytics:

Installs (30 days)
svls 9
Installs on Request (30 days)
svls 9
Build Errors (30 days)
svls 0
Installs (90 days)
svls 35
Installs on Request (90 days)
svls 35
Installs (365 days)
svls 196
Installs on Request (365 days)
svls 196
Fork me on GitHub