Formula Install On Request Events (365 days)

/api/analytics/install-on-request/365d.json (JSON API)

Start Date: 2024-03-25

Total Events: 98300979

Formula Events %
#1 node 2,379,685 2.42%
#2 awscli 1,938,416 1.97%
#3 git 1,623,149 1.65%
#4 pyenv 1,445,589 1.47%
#5 gh 1,416,151 1.44%
#6 ffmpeg 1,303,544 1.33%
#7 cmake 1,218,977 1.24%
#8 python@3.12 1,153,559 1.17%
#9 wget 1,124,296 1.14%
#10 harfbuzz 1,086,207 1.10%
#11 glib 1,012,486 1.03%
#12 xz 948,057 0.96%
#13 imagemagick 838,886 0.85%
#14 go 787,998 0.80%
#15 gnupg 763,912 0.78%
#16 python@3.11 738,969 0.75%
#17 openjdk 721,089 0.73%
#18 gcc 718,877 0.73%
#19 openssl@3 668,101 0.68%
#20 curl 662,822 0.67%
#21 python@3.10 639,819 0.65%
#22 unbound 622,333 0.63%
#23 rbenv 614,241 0.62%
#24 php 613,281 0.62%
#25 mysql 599,710 0.61%
#26 jpeg-xl 599,667 0.61%
#27 postgresql@14 592,008 0.60%
#28 python@3.9 585,200 0.60%
#29 aom 582,749 0.59%
#30 watchman 571,652 0.58%
#31 coreutils 556,744 0.57%
#32 jq 534,320 0.54%
#33 pango 527,106 0.54%
#34 docker 525,120 0.53%
#35 tesseract 513,263 0.52%
#36 fzf 473,742 0.48%
#37 graphviz 471,579 0.48%
#38 yq 465,206 0.47%
#39 kubernetes-cli 463,959 0.47%
#40 protobuf 463,299 0.47%
#41 swiftlint 461,182 0.47%
#42 neovim 456,279 0.46%
#43 xcbeautify 453,791 0.46%
#44 helm 449,069 0.46%
#45 cocoapods 430,141 0.44%
#46 nvm 424,795 0.43%
#47 yt-dlp 422,450 0.43%
#48 pipx 419,231 0.43%
#49 ruby-build 419,147 0.43%
#50 librsvg 418,931 0.43%
#51 gnutls 415,189 0.42%
#52 python@3.13 406,530 0.41%
#53 llvm 401,295 0.41%
#54 qemu 385,136 0.39%
#55 rust 376,131 0.38%
#56 azure-cli 356,506 0.36%
#57 libpq 356,505 0.36%
#58 ruby 348,051 0.35%
#59 openjdk@17 346,310 0.35%
#60 maven 342,639 0.35%
#61 libheif 316,689 0.32%
#62 boost 316,395 0.32%
#63 tmux 314,873 0.32%
#64 cairo 313,327 0.32%
#65 docker-compose 311,389 0.32%
#66 git-lfs 310,142 0.32%
#67 gnu-tar 309,785 0.32%
#68 tree 304,504 0.31%
#69 mint 302,135 0.31%
#70 pkgconf 297,961 0.30%
#71 gradle 295,476 0.30%
#72 vim 294,014 0.30%
#73 eza 292,521 0.30%
#74 ansible 287,932 0.29%
#75 terragrunt 279,290 0.28%
#76 redis 277,816 0.28%
#77 webp 277,412 0.28%
#78 pnpm 269,986 0.27%
#79 folly 263,685 0.27%
#80 age 260,681 0.27%
#81 openjdk@11 254,566 0.26%
#82 composer 253,087 0.26%
#83 hashicorp/tap/terraform 253,054 0.26%
#84 poppler 250,369 0.25%
#85 freetds 249,508 0.25%
#86 fizz 242,722 0.25%
#87 ghostscript 241,596 0.25%
#88 nmap 239,812 0.24%
#89 mongosh 237,106 0.24%
#90 fb303 235,558 0.24%
#91 ccache 234,022 0.24%
#92 wangle 231,221 0.24%
#93 bash 231,096 0.24%
#94 telnet 229,910 0.23%
#95 fbthrift 228,991 0.23%
#96 the_silver_searcher 226,930 0.23%
#97 libass 225,823 0.23%
#98 numpy 224,993 0.23%
#99 ninja 224,582 0.23%
#100 yarn 223,565 0.23%
#101 mkcert 221,330 0.23%
#102 automake 219,602 0.22%
#103 gobject-introspection 219,402 0.22%
#104 qt 217,897 0.22%
#105 guile 211,470 0.22%
#106 pandoc 204,796 0.21%
#107 wix/brew/applesimutils 203,276 0.21%
#108 hugo 200,909 0.20%
#109 edencommon 196,910 0.20%
#110 colima 194,898 0.20%
#111 mise 192,602 0.20%
#112 libtool 192,170 0.20%
#113 libtiff 191,541 0.19%
#114 kubeconform 191,503 0.19%
#115 uv 187,298 0.19%
#116 kustomize 183,659 0.19%
#117 libavif 183,487 0.19%
#118 tcl-tk 182,755 0.19%
#119 sops 180,251 0.18%
#120 xcodegen 179,852 0.18%
#121 fluxcd/tap/flux 176,093 0.18%
#122 azure/kubelogin/kubelogin 172,232 0.18%
#123 node@20 171,347 0.17%
#124 bat 166,031 0.17%
#125 nginx 165,946 0.17%
#126 direnv 164,927 0.17%
#127 fastlane 164,167 0.17%
#128 ripgrep 162,642 0.17%
#129 podman 160,898 0.16%
#130 libxml2 158,131 0.16%
#131 argocd 157,084 0.16%
#132 nghttp2 155,504 0.16%
#133 jupyterlab 154,252 0.16%
#134 tfenv 153,055 0.16%
#135 ollama 152,990 0.16%
#136 cryptography 152,503 0.16%
#137 netpbm 151,852 0.15%
#138 sqlite 150,790 0.15%
#139 flyctl 150,398 0.15%
#140 gdk-pixbuf 149,389 0.15%
#141 openssl@1.1 148,163 0.15%
#142 cloudflared 145,913 0.15%
#143 htop 145,637 0.15%
#144 zsh 145,500 0.15%
#145 pre-commit 145,277 0.15%
#146 mysql-client 144,906 0.15%
#147 opencv 142,982 0.15%
#148 openvino 142,913 0.15%
#149 go-task 142,080 0.14%
#150 openjpeg 141,555 0.14%
#151 libfido2 140,797 0.14%
#152 fastfetch 139,194 0.14%
#153 mongodb/brew/mongodb-community 138,589 0.14%
#154 deno 138,580 0.14%
#155 asdf 138,286 0.14%
#156 zlib 136,584 0.14%
#157 xctesthtmlreport 136,152 0.14%
#158 ca-certificates 136,136 0.14%
#159 sdl2 135,612 0.14%
#160 libomp 135,207 0.14%
#161 poetry 134,313 0.14%
#162 autoconf 133,912 0.14%
#163 virtualenv 133,439 0.14%
#164 postgresql@15 131,173 0.13%
#165 gettext 129,448 0.13%
#166 k9s 127,636 0.13%
#167 starship 126,692 0.13%
#168 aws-sam-cli 126,342 0.13%
#169 little-cms2 126,006 0.13%
#170 librist 125,948 0.13%
#171 emacs 125,812 0.13%
#172 lima 125,770 0.13%
#173 openblas 124,985 0.13%
#174 pkg-config 124,541 0.13%
#175 python-setuptools 121,786 0.12%
#176 python@3.8 121,655 0.12%
#177 leptonica 121,511 0.12%
#178 minikube 120,173 0.12%
#179 node@18 118,616 0.12%
#180 qt@5 118,446 0.12%
#181 norwoodj/tap/helm-docs 116,415 0.12%
#182 subversion 115,594 0.12%
#183 grpcurl 114,611 0.12%
#184 oven-sh/bun/bun 112,844 0.11%
#185 swiftformat 112,729 0.11%
#186 p11-kit 112,320 0.11%
#187 shellcheck 112,020 0.11%
#188 grpc 111,310 0.11%
#189 gpgme 111,291 0.11%
#190 scrcpy 111,214 0.11%
#191 hdf5 110,357 0.11%
#192 terraform 109,946 0.11%
#193 fd 109,833 0.11%
#194 zstd 108,758 0.11%
#195 pyenv-virtualenv 108,366 0.11%
#196 graphicsmagick 107,757 0.11%
#197 open-mpi 106,798 0.11%
#198 lazygit 106,623 0.11%
#199 pipenv 105,943 0.11%
#200 golangci-lint 104,671 0.11%
#201 tflint 103,171 0.10%
#202 gdal 101,451 0.10%
#203 actionlint 101,237 0.10%
#204 swiftgen 101,026 0.10%
#205 libarchive 100,607 0.10%
#206 jandedobbeleer/oh-my-posh/oh-my-posh 100,125 0.10%
#207 postgresql@16 98,928 0.10%
#208 pycparser 98,606 0.10%
#209 gstreamer 97,651 0.10%
#210 ddev/ddev/ddev 97,001 0.10%
#211 mysql@8.0 96,146 0.10%
#212 clang-format 95,991 0.10%
#213 libpng 95,414 0.10%
#214 trivy 94,855 0.10%
#215 libksba 94,251 0.10%
#216 derailed/k9s/k9s 93,873 0.10%
#217 httpie 91,727 0.09%
#218 git-gui 90,608 0.09%
#219 hub 90,158 0.09%
#220 httpd 89,211 0.09%
#221 tfsec 88,767 0.09%
#222 readline 88,487 0.09%
#223 node@16 87,897 0.09%
#224 libffi 87,285 0.09%
#225 a7ex/formulae/xcresultparser 85,755 0.09%
#226 icu4c@76 85,320 0.09%
#227 terraform-docs 85,142 0.09%
#228 cffi 84,103 0.09%
#229 act 83,919 0.09%
#230 hello 83,030 0.08%
#231 mariadb 82,923 0.08%
#232 parallel 81,910 0.08%
#233 gnu-sed 80,734 0.08%
#234 openssh 80,693 0.08%
#235 zoxide 80,368 0.08%
#236 gtk+3 78,220 0.08%
#237 kind 78,198 0.08%
#238 moreutils 77,728 0.08%
#239 supabase/tap/supabase 77,601 0.08%
#240 libraw 77,577 0.08%
#241 erlang 77,490 0.08%
#242 hashicorp/tap/vault 77,334 0.08%
#243 sonar-scanner 76,640 0.08%
#244 apache-arrow 75,910 0.08%
#245 heroku/brew/heroku 75,837 0.08%
#246 leoafarias/fvm/fvm 75,510 0.08%
#247 fish 75,258 0.08%
#248 libidn2 74,032 0.08%
#249 php@8.2 73,428 0.07%
#250 databricks/tap/databricks 72,869 0.07%
#251 gitleaks 72,533 0.07%
#252 nss 72,532 0.07%
#253 rabbitmq 71,435 0.07%
#254 sourcekitten 71,260 0.07%
#255 watch 70,753 0.07%
#256 gtk4 70,406 0.07%
#257 jpeg 70,206 0.07%
#258 just 69,576 0.07%
#259 sphinx-doc 68,839 0.07%
#260 jo 68,725 0.07%
#261 meson 68,494 0.07%
#262 ruff 68,494 0.07%
#263 gmp 68,028 0.07%
#264 bats-core 67,737 0.07%
#265 p7zip 67,698 0.07%
#266 chargepoint/xcparse/xcparse 66,780 0.07%
#267 pyqt 66,675 0.07%
#268 zsh-autosuggestions 66,552 0.07%
#269 php@8.1 65,419 0.07%
#270 wireshark 65,333 0.07%
#271 midnight-commander 64,963 0.07%
#272 certbot 64,396 0.07%
#273 openjdk@21 64,229 0.07%
#274 shivammathur/php/php@7.4 64,052 0.07%
#275 ipython 63,621 0.06%
#276 mongodb-atlas-cli 62,868 0.06%
#277 glab 62,608 0.06%
#278 exiftool 62,351 0.06%
#279 python-tk@3.12 62,332 0.06%
#280 rsync 61,709 0.06%
#281 stern 61,656 0.06%
#282 libssh2 61,513 0.06%
#283 vtk 61,063 0.06%
#284 vips 60,929 0.06%
#285 mpv 60,848 0.06%
#286 docutils 60,206 0.06%
#287 neofetch 60,202 0.06%
#288 apktool 59,768 0.06%
#289 libusb 59,621 0.06%
#290 wimlib 59,408 0.06%
#291 mvfst 58,974 0.06%
#292 d2 58,898 0.06%
#293 zsh-syntax-highlighting 58,643 0.06%
#294 lcov 58,400 0.06%
#295 perl 58,182 0.06%
#296 stripe/stripe-cli/stripe 57,893 0.06%
#297 pillow 56,605 0.06%
#298 pygobject3 56,100 0.06%
#299 btop 55,871 0.06%
#300 chibi-scheme 55,592 0.06%
#301 at-spi2-core 55,567 0.06%
#302 md5sha1sum 55,269 0.06%
#303 freetype 55,153 0.06%
#304 bazelisk 54,988 0.06%
#305 elixir 54,837 0.06%
#306 openshift-cli 54,799 0.06%
#307 rclone 54,742 0.06%
#308 make 54,141 0.06%
#309 mysql@8.4 53,905 0.05%
#310 libssh 53,864 0.05%
#311 ncdu 53,852 0.05%
#312 cfn-lint 53,833 0.05%
#313 sbt 53,527 0.05%
#314 ansible-lint 53,170 0.05%
#315 c-ares 53,124 0.05%
#316 pv 53,113 0.05%
#317 r 53,071 0.05%
#318 cmake-docs 52,900 0.05%
#319 dart-lang/dart/dart 52,169 0.05%
#320 llama.cpp 52,125 0.05%
#321 gauche 52,123 0.05%
#322 oh-my-posh 52,003 0.05%
#323 docker-buildx 51,727 0.05%
#324 git-delta 51,341 0.05%
#325 giflib 51,190 0.05%
#326 suite-sparse 51,145 0.05%
#327 libassuan 50,496 0.05%
#328 mosh 50,415 0.05%
#329 kafka 49,503 0.05%
#330 sourcery 49,430 0.05%
#331 pinentry-mac 49,429 0.05%
#332 srt 49,237 0.05%
#333 jenv 49,087 0.05%
#334 libyaml 48,984 0.05%
#335 gsettings-desktop-schemas 48,918 0.05%
#336 iperf3 48,843 0.05%
#337 gnuplot 48,527 0.05%
#338 gdb 48,524 0.05%
#339 libzip 48,498 0.05%
#340 socat 48,491 0.05%
#341 kaos/shell/bats-assert 48,468 0.05%
#342 kaos/shell/bats-file 48,327 0.05%
#343 portaudio 48,297 0.05%
#344 k6 48,211 0.05%
#345 puma/puma/puma-dev 48,042 0.05%
#346 bufbuild/buf/buf 48,035 0.05%
#347 chezmoi 47,883 0.05%
#348 libmicrohttpd 47,627 0.05%
#349 openvpn 47,588 0.05%
#350 frontasticgmbh/tap/frontastic-cli 47,380 0.05%
#351 sevenzip 47,280 0.05%
#352 firebase-cli 47,150 0.05%
#353 gd 47,138 0.05%
#354 helmfile 46,980 0.05%
#355 checkov 46,915 0.05%
#356 semgrep 46,654 0.05%
#357 nushell 46,609 0.05%
#358 allure 46,544 0.05%
#359 pinentry 46,525 0.05%
#360 unzip 46,330 0.05%
#361 talisman 46,314 0.05%
#362 eksctl 45,802 0.05%
#363 putty 45,760 0.05%
#364 libimobiledevice 45,694 0.05%
#365 gawk 45,469 0.05%
#366 luajit 45,069 0.05%
#367 git-crypt 44,567 0.05%
#368 mercurial 44,498 0.05%
#369 black 44,308 0.05%
#370 aria2 44,307 0.05%
#371 rust-analyzer 43,957 0.04%
#372 aws-cdk 43,408 0.04%
#373 openapi-generator 43,199 0.04%
#374 libgit2 43,025 0.04%
#375 mysql@5.7 43,020 0.04%
#376 mas 42,839 0.04%
#377 scala 42,769 0.04%
#378 kubectx 42,642 0.04%
#379 sdl2_image 42,510 0.04%
#380 yazi 42,359 0.04%
#381 ios-deploy 42,314 0.04%
#382 python-tk@3.11 42,168 0.04%
#383 rustup 42,149 0.04%
#384 ffmpeg@6 41,862 0.04%
#385 py3cairo 41,424 0.04%
#386 kotlin 41,299 0.04%
#387 doxygen 41,278 0.04%
#388 node@22 41,064 0.04%
#389 bitwarden-cli 40,852 0.04%
#390 cosign 40,842 0.04%
#391 uutils-findutils 40,508 0.04%
#392 adwaita-icon-theme 40,484 0.04%
#393 openldap 40,448 0.04%
#394 ffmpeg@4 40,277 0.04%
#395 xmlstarlet 40,102 0.04%
#396 shfmt 40,086 0.04%
#397 dotnet 40,067 0.04%
#398 shared-mime-info 40,052 0.04%
#399 jadx 39,975 0.04%
#400 jfrog-cli 39,723 0.04%
#401 python-tk@3.13 39,533 0.04%
#402 getsentry/tools/sentry-cli 38,639 0.04%
#403 binutils 38,232 0.04%
#404 carthage 38,168 0.04%
#405 powershell/tap/powershell 38,145 0.04%
#406 docker-credential-helper-ecr 38,067 0.04%
#407 tig 37,922 0.04%
#408 opentofu 37,884 0.04%
#409 oci-cli 37,443 0.04%
#410 bison 37,429 0.04%
#411 bazel 37,275 0.04%
#412 gdbm 37,239 0.04%
#413 krb5 37,128 0.04%
#414 lua 37,126 0.04%
#415 wxwidgets 36,937 0.04%
#416 koekeishiya/formulae/yabai 36,893 0.04%
#417 helix 36,606 0.04%
#418 icu4c 36,246 0.04%
#419 nano 36,113 0.04%
#420 fontconfig 36,056 0.04%
#421 jenkins-lts 36,049 0.04%
#422 glances 35,855 0.04%
#423 fetch 35,787 0.04%
#424 facebook/fb/idb-companion 35,439 0.04%
#425 tree-sitter 35,422 0.04%
#426 pulumi 35,252 0.04%
#427 cloc 35,021 0.04%
#428 talhelper 34,952 0.04%
#429 mono 34,870 0.04%
#430 zellij 34,425 0.04%
#431 libxmlsec1 34,027 0.03%
#432 scipy 33,994 0.03%
#433 sass/sass/sass 33,978 0.03%
#434 plantuml 33,853 0.03%
#435 zig 33,843 0.03%
#436 kadwanev/brew/retry 33,831 0.03%
#437 groonga 33,757 0.03%
#438 swig 33,658 0.03%
#439 libvirt 33,657 0.03%
#440 doctl 33,570 0.03%
#441 pyqt@5 33,517 0.03%
#442 postgis 33,436 0.03%
#443 powerlevel10k 33,294 0.03%
#444 vale 33,196 0.03%
#445 git-filter-repo 33,192 0.03%
#446 libxslt 33,184 0.03%
#447 fnm 33,180 0.03%
#448 xcodesorg/made/xcodes 33,051 0.03%
#449 octave 32,570 0.03%
#450 atuin 32,520 0.03%
#451 librdkafka 32,500 0.03%
#452 docker-machine 32,498 0.03%
#453 nasm 32,211 0.03%
#454 certifi 32,166 0.03%
#455 openjdk@8 32,026 0.03%
#456 libx11 31,776 0.03%
#457 docker-credential-helper 31,742 0.03%
#458 mongodb/brew/mongodb-community@6.0 31,623 0.03%
#459 typescript 31,492 0.03%
#460 glfw 31,334 0.03%
#461 ruby-install 31,297 0.03%
#462 aws-iam-authenticator 31,285 0.03%
#463 pulumi/tap/pulumi 31,215 0.03%
#464 libslirp 31,114 0.03%
#465 duckdb 31,110 0.03%
#466 angular-cli 31,039 0.03%
#467 python-tk@3.10 30,732 0.03%
#468 node-build 30,666 0.03%
#469 universal-ctags 30,627 0.03%
#470 ocrmypdf 30,573 0.03%
#471 pcre2 30,504 0.03%
#472 ugrep 30,216 0.03%
#473 ant 30,190 0.03%
#474 jesseduffield/lazygit/lazygit 30,083 0.03%
#475 mkvtoolnix 29,739 0.03%
#476 percona-toolkit 29,719 0.03%
#477 uutils-coreutils 29,681 0.03%
#478 lua-language-server 29,595 0.03%
#479 dnsmasq 29,483 0.03%
#480 sdl2_ttf 29,346 0.03%
#481 jmeter 29,253 0.03%
#482 unar 28,940 0.03%
#483 mingw-w64 28,870 0.03%
#484 weaveworks/tap/eksctl 28,790 0.03%
#485 cask 28,783 0.03%
#486 pmix 28,722 0.03%
#487 freerdp 28,707 0.03%
#488 tbb 28,537 0.03%
#489 gnu-getopt 28,460 0.03%
#490 libmagic 28,435 0.03%
#491 minio/stable/mc 28,337 0.03%
#492 libsoup 28,322 0.03%
#493 azure/functions/azure-functions-core-tools@4 28,157 0.03%
#494 molten-vk 28,147 0.03%
#495 liblqr 28,025 0.03%
#496 python-matplotlib 27,969 0.03%
#497 circleci 27,948 0.03%
#498 xcodes 27,944 0.03%
#499 yamllint 27,677 0.03%
#500 libsodium 27,577 0.03%
#501 trufflehog 27,575 0.03%
#502 pyright 27,560 0.03%
#503 zizmor 27,488 0.03%
#504 luarocks 27,436 0.03%
#505 conan 27,395 0.03%
#506 postgresql@13 27,375 0.03%
#507 lsd 27,335 0.03%
#508 pytorch 27,252 0.03%
#509 qpdf 27,192 0.03%
#510 apache-spark 27,191 0.03%
#511 pytest 27,057 0.03%
#512 netcdf 27,011 0.03%
#513 postgresql@17 26,822 0.03%
#514 imagemagick@6 26,820 0.03%
#515 siderolabs/talos/talosctl 26,786 0.03%
#516 glib-networking 26,748 0.03%
#517 prettier 26,676 0.03%
#518 tailscale 26,656 0.03%
#519 python-tk@3.9 26,530 0.03%
#520 jpeg-turbo 26,462 0.03%
#521 grep 26,358 0.03%
#522 snyk/tap/snyk 26,345 0.03%
#523 eigen 26,331 0.03%
#524 zsh-completions 26,296 0.03%
#525 istioctl 26,209 0.03%
#526 gomplate 26,109 0.03%
#527 youtube-dl 26,076 0.03%
#528 licenseplist 25,618 0.03%
#529 blueutil 25,415 0.03%
#530 groovy 25,389 0.03%
#531 emscripten 25,373 0.03%
#532 vault 25,276 0.03%
#533 pcre 25,250 0.03%
#534 docker-completion 25,246 0.03%
#535 syncthing 25,160 0.03%
#536 proj 25,137 0.03%
#537 go-task/tap/go-task 25,062 0.03%
#538 lychee 24,995 0.03%
#539 skopeo 24,805 0.03%
#540 media-info 24,741 0.03%
#541 wireguard-tools 24,654 0.03%
#542 broot 24,613 0.03%
#543 unixodbc 24,599 0.03%
#544 sqlmap 24,574 0.02%
#545 memcached 24,510 0.02%
#546 swagger-codegen 24,415 0.02%
#547 libuv 24,374 0.02%
#548 findutils 24,318 0.02%
#549 iproute2mac 24,087 0.02%
#550 libnghttp2 24,050 0.02%
#551 buf 24,041 0.02%
#552 k3d 23,936 0.02%
#553 cliclick 23,802 0.02%
#554 winetricks 23,723 0.02%
#555 zookeeper 23,676 0.02%
#556 tldr 23,549 0.02%
#557 cppcheck 23,417 0.02%
#558 snappy 23,245 0.02%
#559 libunistring 23,215 0.02%
#560 cookiecutter 23,052 0.02%
#561 llvm@18 23,030 0.02%
#562 jasper 23,010 0.02%
#563 chruby 22,873 0.02%
#564 mockery 22,800 0.02%
#565 bundletool 22,688 0.02%
#566 dust 22,491 0.02%
#567 grafana 22,468 0.02%
#568 sshpass 22,335 0.02%
#569 ncurses 22,331 0.02%
#570 gitlab-runner 22,298 0.02%
#571 sbcl 22,183 0.02%
#572 dos2unix 22,140 0.02%
#573 lz4 22,077 0.02%
#574 tomcat 21,981 0.02%
#575 shivammathur/php/php@8.0 21,761 0.02%
#576 ruby@3.1 21,749 0.02%
#577 eslint 21,703 0.02%
#578 jenkins 21,628 0.02%
#579 openexr 21,543 0.02%
#580 rustup-init 21,533 0.02%
#581 shivammathur/php/php@7.3 21,487 0.02%
#582 macvim 21,307 0.02%
#583 stow 21,302 0.02%
#584 kcov 21,162 0.02%
#585 gts 21,108 0.02%
#586 hashicorp/tap/packer 21,082 0.02%
#587 xcresultparser 21,073 0.02%
#588 mypy 21,033 0.02%
#589 mosquitto 21,003 0.02%
#590 tor 20,748 0.02%
#591 ta-lib 20,664 0.02%
#592 libspatialite 20,633 0.02%
#593 shopify/shopify/shopify-cli 20,604 0.02%
#594 mysql-client@8.4 20,545 0.02%
#595 ykman 20,542 0.02%
#596 dependency-check 20,478 0.02%
#597 libgpg-error 20,457 0.02%
#598 gum 20,377 0.02%
#599 symfony-cli/tap/symfony-cli 20,271 0.02%
#600 mtr 20,170 0.02%
#601 thefuck 20,156 0.02%
#602 warrensbox/tap/tfswitch 20,080 0.02%
#603 saml2aws 20,020 0.02%
#604 smartmontools 20,017 0.02%
#605 keyring 19,955 0.02%
#606 sonarqube 19,945 0.02%
#607 apr-util 19,904 0.02%
#608 vte3 19,889 0.02%
#609 conftest 19,840 0.02%
#610 difftastic 19,833 0.02%
#611 libgcrypt 19,833 0.02%
#612 php@8.3 19,808 0.02%
#613 vercel-cli 19,801 0.02%
#614 withgraphite/tap/graphite 19,707 0.02%
#615 skaffold 19,702 0.02%
#616 libiconv 19,656 0.02%
#617 swift-sh 19,651 0.02%
#618 int128/kubelogin/kubelogin 19,630 0.02%
#619 shivammathur/php/php 19,603 0.02%
#620 lazydocker 19,602 0.02%
#621 postgresql@12 19,543 0.02%
#622 flyway 19,518 0.02%
#623 bash-completion@2 19,465 0.02%
#624 nodenv 19,456 0.02%
#625 huggingface-cli 19,426 0.02%
#626 zbar 19,386 0.02%
#627 minio-mc 19,382 0.02%
#628 pgcli 19,128 0.02%
#629 speedtest-cli 18,984 0.02%
#630 bash-completion 18,965 0.02%
#631 dpkg 18,954 0.02%
#632 podman-compose 18,909 0.02%
#633 commitizen 18,885 0.02%
#634 pixman 18,875 0.02%
#635 texlive 18,855 0.02%
#636 tilt 18,834 0.02%
#637 geos 18,814 0.02%
#638 pdm 18,798 0.02%
#639 vite 18,772 0.02%
#640 python-yq 18,758 0.02%
#641 kubeseal 18,625 0.02%
#642 exercism 18,463 0.02%
#643 streamlink 18,403 0.02%
#644 googletest 18,327 0.02%
#645 shivammathur/php/php@7.2 18,292 0.02%
#646 bear 18,277 0.02%
#647 dive 18,263 0.02%
#648 n 18,078 0.02%
#649 fmt 17,940 0.02%
#650 golang-migrate 17,894 0.02%
#651 sox 17,800 0.02%
#652 nuget 17,767 0.02%
#653 opam 17,674 0.02%
#654 icu4c@75 17,521 0.02%
#655 mobile-dev-inc/tap/maestro 17,510 0.02%
#656 poppler-qt5 17,411 0.02%
#657 dbmate 17,280 0.02%
#658 autoconf-archive 17,266 0.02%
#659 gromgit/fuse/ntfs-3g-mac 17,239 0.02%
#660 samba 17,209 0.02%
#661 localstack 17,202 0.02%
#662 microsoft/mssql-release/msodbcsql18 17,114 0.02%
#663 goreleaser 17,034 0.02%
#664 protoc-gen-go 16,834 0.02%
#665 enchant 16,806 0.02%
#666 renovate 16,762 0.02%
#667 z3 16,664 0.02%
#668 common-fate/granted/granted 16,606 0.02%
#669 swift-protobuf 16,579 0.02%
#670 nettle 16,562 0.02%
#671 mesa 16,536 0.02%
#672 caddy 16,464 0.02%
#673 six 16,453 0.02%
#674 prometheus 16,421 0.02%
#675 aws-elasticbeanstalk 16,406 0.02%
#676 glow 16,398 0.02%
#677 mysql-client@8.0 16,332 0.02%
#678 kube-score 16,318 0.02%
#679 mutagen-io/mutagen/mutagen 16,309 0.02%
#680 coursier/formulas/coursier 16,270 0.02%
#681 cilium-cli 16,157 0.02%
#682 swi-prolog 16,142 0.02%
#683 clamav 16,130 0.02%
#684 iperf 16,092 0.02%
#685 utf8proc 16,008 0.02%
#686 magic-wormhole 15,967 0.02%
#687 libev 15,942 0.02%
#688 fribidi 15,908 0.02%
#689 julia 15,843 0.02%
#690 transmission-cli 15,809 0.02%
#691 util-linux 15,809 0.02%
#692 ack 15,789 0.02%
#693 ideviceinstaller 15,744 0.02%
#694 tesseract-lang 15,684 0.02%
#695 velero 15,669 0.02%
#696 php-cs-fixer 15,666 0.02%
#697 pylint 15,663 0.02%
#698 fswatch 15,557 0.02%
#699 bind 15,537 0.02%
#700 mongodb/brew/mongodb-database-tools 15,536 0.02%
#701 corepack 15,504 0.02%
#702 sip 15,490 0.02%
#703 fluid-synth 15,466 0.02%
#704 capnp 15,462 0.02%
#705 swift-format 15,405 0.02%
#706 libmatio 15,330 0.02%
#707 llm 15,223 0.02%
#708 lokalise/cli-2/lokalise2 15,189 0.02%
#709 grype 15,162 0.02%
#710 tokei 15,144 0.02%
#711 minicom 15,058 0.02%
#712 d12frosted/emacs-plus/emacs-plus@29 15,024 0.02%
#713 shivammathur/php/php@8.1 15,014 0.02%
#714 inetutils 14,973 0.02%
#715 autojump 14,938 0.02%
#716 aquasecurity/trivy/trivy 14,922 0.02%
#717 tealdeer 14,907 0.02%
#718 diffutils 14,759 0.02%
#719 bottom 14,755 0.02%
#720 syft 14,742 0.01%
#721 cmatrix 14,742 0.01%
#722 python-lsp-server 14,718 0.01%
#723 ast-grep 14,711 0.01%
#724 lynx 14,684 0.01%
#725 step 14,581 0.01%
#726 binwalk 14,578 0.01%
#727 goenv 14,417 0.01%
#728 llvm@16 14,401 0.01%
#729 vapoursynth 14,287 0.01%
#730 mupdf 14,268 0.01%
#731 libvorbis 14,234 0.01%
#732 ktlint 14,222 0.01%
#733 phrase-cli 14,161 0.01%
#734 gcc@11 14,097 0.01%
#735 virt-manager 14,084 0.01%
#736 gallery-dl 14,083 0.01%
#737 gleam 14,073 0.01%
#738 cgal 14,034 0.01%
#739 shivammathur/php/php@8.2 14,018 0.01%
#740 selenium-server 14,013 0.01%
#741 protobuf-c 13,962 0.01%
#742 sccache 13,884 0.01%
#743 handbrake 13,872 0.01%
#744 libevent 13,830 0.01%
#745 temporal 13,801 0.01%
#746 clang-format@11 13,791 0.01%
#747 homebrew/test-bot/testbottest 13,766 0.01%
#748 lnav 13,731 0.01%
#749 sshuttle 13,648 0.01%
#750 ldns 13,613 0.01%
#751 go@1.22 13,575 0.01%
#752 zsh-autocomplete 13,536 0.01%
#753 microsoft/mssql-release/msodbcsql17 13,525 0.01%
#754 gitui 13,516 0.01%
#755 libproxy 13,480 0.01%
#756 teleport 13,470 0.01%
#757 switchaudio-osx 13,450 0.01%
#758 csvkit 13,402 0.01%
#759 weasyprint 13,388 0.01%
#760 suzuki-shunsuke/tfcmt/tfcmt 13,348 0.01%
#761 cowsay 13,325 0.01%
#762 xxhash 13,266 0.01%
#763 liquibase 13,265 0.01%
#764 k0sproject/tap/k0sctl 13,201 0.01%
#765 go@1.21 13,185 0.01%
#766 elastic/tap/elasticsearch-full 13,174 0.01%
#767 testdisk 13,160 0.01%
#768 qcachegrind 13,157 0.01%
#769 markdownlint-cli 13,156 0.01%
#770 mutagen-io/mutagen/mutagen-compose 13,140 0.01%
#771 localstack/tap/localstack-cli 13,124 0.01%
#772 boost-python3 13,119 0.01%
#773 mpg123 13,025 0.01%
#774 cdk8s 12,974 0.01%
#775 hadolint 12,937 0.01%
#776 ssh-copy-id 12,924 0.01%
#777 s3cmd 12,916 0.01%
#778 netcat 12,857 0.01%
#779 gedit 12,842 0.01%
#780 akamai 12,822 0.01%
#781 homeport/tap/dyff 12,807 0.01%
#782 minio/stable/minio 12,799 0.01%
#783 fftw 12,786 0.01%
#784 libusbmuxd 12,777 0.01%
#785 psutils 12,771 0.01%
#786 ranger 12,768 0.01%
#787 gnuradio 12,757 0.01%
#788 buildifier 12,722 0.01%
#789 lizardbyte/homebrew/sunshine 12,711 0.01%
#790 clojure/tools/clojure 12,690 0.01%
#791 sdl2_mixer 12,665 0.01%
#792 jsonnet 12,663 0.01%
#793 sfcgal 12,632 0.01%
#794 gtk+ 12,615 0.01%
#795 quarkusio/tap/quarkus 12,556 0.01%
#796 hashcat 12,556 0.01%
#797 microsoft/mssql-release/mssql-tools18 12,546 0.01%
#798 clojure 12,513 0.01%
#799 x265 12,501 0.01%
#800 gopls 12,490 0.01%
#801 websocat 12,439 0.01%
#802 sundials 12,353 0.01%
#803 parquet-cli 12,350 0.01%
#804 httrack 12,319 0.01%
#805 buildpulse-test-reporter 12,274 0.01%
#806 scons 12,247 0.01%
#807 license-eye 12,245 0.01%
#808 zip 12,232 0.01%
#809 gimme-aws-creds 12,222 0.01%
#810 libpsl 12,206 0.01%
#811 expat 12,192 0.01%
#812 expect 12,191 0.01%
#813 felixkratz/formulae/sketchybar 12,135 0.01%
#814 krew 12,134 0.01%
#815 opus 12,114 0.01%
#816 texinfo 12,104 0.01%
#817 esphome 12,073 0.01%
#818 ceres-solver 12,021 0.01%
#819 bzip2 11,955 0.01%
#820 qmk/qmk/qmk 11,937 0.01%
#821 mycli 11,933 0.01%
#822 re2 11,926 0.01%
#823 weechat 11,919 0.01%
#824 hwloc 11,901 0.01%
#825 qrencode 11,901 0.01%
#826 lftp 11,884 0.01%
#827 cargo-lambda/cargo-lambda/cargo-lambda 11,835 0.01%
#828 neo4j 11,783 0.01%
#829 siderolabs/tap/talosctl 11,734 0.01%
#830 nuclei 11,731 0.01%
#831 micromamba 11,696 0.01%
#832 platformio 11,691 0.01%
#833 screen 11,661 0.01%
#834 dvc 11,617 0.01%
#835 arduino-cli 11,596 0.01%
#836 nodebrew 11,559 0.01%
#837 hydra 11,512 0.01%
#838 koekeishiya/formulae/skhd 11,460 0.01%
#839 bfg 11,439 0.01%
#840 cassandra 11,396 0.01%
#841 ariga/tap/atlas 11,393 0.01%
#842 arpoison 11,388 0.01%
#843 ghq 11,350 0.01%
#844 procs 11,255 0.01%
#845 pybind11 11,180 0.01%
#846 lefthook 11,141 0.01%
#847 task 11,111 0.01%
#848 tomcat@9 11,094 0.01%
#849 upx 11,073 0.01%
#850 gspell 11,061 0.01%
#851 pwgen 10,968 0.01%
#852 thrift 10,861 0.01%
#853 git-svn 10,847 0.01%
#854 tlrc 10,816 0.01%
#855 s2n 10,809 0.01%
#856 git-flow 10,806 0.01%
#857 tmuxinator 10,759 0.01%
#858 abseil 10,706 0.01%
#859 assimp 10,689 0.01%
#860 radare2 10,684 0.01%
#861 azcopy 10,671 0.01%
#862 pygments 10,641 0.01%
#863 root 10,633 0.01%
#864 hadoop 10,582 0.01%
#865 kompose 10,565 0.01%
#866 tilt-dev/tap/ctlptl 10,529 0.01%
#867 crystal 10,529 0.01%
#868 opa 10,519 0.01%
#869 aircrack-ng 10,495 0.01%
#870 warrensbox/tap/tgswitch 10,491 0.01%
#871 mpich 10,476 0.01%
#872 etcd 10,457 0.01%
#873 ethereum 10,422 0.01%
#874 mecab 10,391 0.01%
#875 restic 10,351 0.01%
#876 shivammathur/php/php@7.1 10,337 0.01%
#877 jackett 10,288 0.01%
#878 rename 10,212 0.01%
#879 aider 10,209 0.01%
#880 tursodatabase/tap/turso 10,193 0.01%
#881 vala 10,164 0.01%
#882 gping 10,142 0.01%
#883 mongodb/brew/mongodb-community@5.0 10,142 0.01%
#884 drud/ddev/ddev 10,125 0.01%
#885 nlohmann-json 10,118 0.01%
#886 mbedtls 10,106 0.01%
#887 gsl 10,075 0.01%
#888 minio 10,040 0.01%
#889 isl 10,038 0.01%
#890 tox 10,003 0.01%
#891 groff 9,995 0.01%
#892 oras 9,973 0.01%
#893 tuist/tuist/tuist@4.8.1 9,971 0.01%
#894 typos-cli 9,968 0.01%
#895 typst 9,946 0.01%
#896 pgvector 9,872 0.01%
#897 dart-sdk 9,861 0.01%
#898 sui 9,854 0.01%
#899 overmind 9,849 0.01%
#900 geckodriver 9,821 0.01%
#901 microsoft/mssql-release/mssql-tools 9,795 0.01%
#902 operator-sdk 9,791 0.01%
#903 kdoctor 9,783 0.01%
#904 dav1d 9,782 0.01%
#905 squid 9,760 0.01%
#906 snyk-cli 9,758 0.01%
#907 cython 9,725 0.01%
#908 rtmpdump 9,717 0.01%
#909 hasura-cli 9,712 0.01%
#910 micro 9,693 0.01%
#911 flexih/tap/snake 9,685 0.01%
#912 crane 9,675 0.01%
#913 hcl2json 9,672 0.01%
#914 flex 9,631 0.01%
#915 solidity 9,628 0.01%
#916 jj 9,595 0.01%
#917 grpcui 9,564 0.01%
#918 vcpkg 9,551 0.01%
#919 haskell-stack 9,522 0.01%
#920 openconnect 9,518 0.01%
#921 e2fsprogs 9,511 0.01%
#922 libdap 9,485 0.01%
#923 cloudflare-wrangler2 9,468 0.01%
#924 cirruslabs/cli/tart 9,467 0.01%
#925 esptool 9,465 0.01%
#926 ghc 9,425 0.01%
#927 twittemb/formulae/xcodecoverageconverter 9,422 0.01%
#928 create-dmg 9,390 0.01%
#929 shivammathur/php/php@5.6 9,368 0.01%
#930 infracost 9,361 0.01%
#931 kube-ps1 9,340 0.01%
#932 glslang 9,339 0.01%
#933 libgeotiff 9,339 0.01%
#934 spatialite-tools 9,327 0.01%
#935 openai-whisper 9,307 0.01%
#936 wakeonlan 9,285 0.01%
#937 mpfr 9,253 0.01%
#938 avrdude 9,242 0.01%
#939 libadwaita 9,242 0.01%
#940 phpmyadmin 9,212 0.01%
#941 dfu-util 9,187 0.01%
#942 lld 9,187 0.01%
#943 buildpacks/tap/pack 9,176 0.01%
#944 pngquant 9,098 0.01%
#945 aws-vault 9,086 0.01%
#946 maxima 9,077 0.01%
#947 libxcb 9,061 0.01%
#948 libpcap 9,047 0.01%
#949 fx 9,041 0.01%
#950 sfml 9,017 0.01%
#951 less 9,013 0.01%
#952 defenseunicorns/tap/uds 8,987 0.01%
#953 env0/terratag/terratag 8,971 0.01%
#954 volta 8,968 0.01%
#955 spdlog 8,967 0.01%
#956 libtensorflow 8,933 0.01%
#957 libraqm 8,932 0.01%
#958 spicetify-cli 8,907 0.01%
#959 mailpit 8,868 0.01%
#960 argo 8,854 0.01%
#961 defenseunicorns/tap/uds@0.19.0 8,853 0.01%
#962 arm-none-eabi-gcc 8,839 0.01%
#963 czmq 8,838 0.01%
#964 glew 8,820 0.01%
#965 http-server 8,747 0.01%
#966 doctest 8,704 0.01%
#967 libsndfile 8,702 0.01%
#968 croc 8,702 0.01%
#969 delve 8,702 0.01%
#970 capstone 8,695 0.01%
#971 python-packaging 8,661 0.01%
#972 tuist/tuist/tuist@4.24.0 8,646 0.01%
#973 goreleaser/tap/goreleaser 8,638 0.01%
#974 aichat 8,626 0.01%
#975 trash-cli 8,623 0.01%
#976 qscintilla2 8,611 0.01%
#977 buildkit 8,611 0.01%
#978 lastpass-cli 8,569 0.01%
#979 homebrew-ffmpeg/ffmpeg/ffmpeg 8,565 0.01%
#980 uncrustify 8,556 0.01%
#981 gcenx/wine/game-porting-toolkit 8,549 0.01%
#982 astro 8,524 0.01%
#983 unison 8,490 0.01%
#984 topgrade 8,481 0.01%
#985 libedit 8,462 0.01%
#986 xorgproto 8,441 0.01%
#987 argoproj/tap/kubectl-argo-rollouts 8,416 0.01%
#988 fonttools 8,359 0.01%
#989 d12frosted/emacs-plus/emacs-plus@30 8,359 0.01%
#990 cabextract 8,357 0.01%
#991 appium 8,350 0.01%
#992 openssl@3.0 8,337 0.01%
#993 television 8,328 0.01%
#994 gitlab-ci-local 8,301 0.01%
#995 yasm 8,298 0.01%
#996 hyperfine 8,294 0.01%
#997 encoredev/tap/encore 8,266 0.01%
#998 kube-linter 8,264 0.01%
#999 chafa 8,236 0.01%
#1000 awk 8,227 0.01%
#1001 locust 8,213 0.01%
#1002 teamookla/speedtest/speedtest 8,207 0.01%
#1003 json-glib 8,202 0.01%
#1004 phpunit 8,189 0.01%
#1005 rpm 8,184 0.01%
#1006 ios-webkit-debug-proxy 8,168 0.01%
#1007 libgccjit 8,156 0.01%
#1008 spaceship 8,153 0.01%
#1009 git-extras 8,117 0.01%
#1010 svt-av1 8,112 0.01%
#1011 lsusb 8,097 0.01%
#1012 dopplerhq/cli/doppler 8,095 0.01%
#1013 opensearch 8,042 0.01%
#1014 modularml/packages/modular 8,033 0.01%
#1015 highlight 8,018 0.01%
#1016 vulkan-headers 7,995 0.01%
#1017 gource 7,992 0.01%
#1018 zeromq 7,988 0.01%
#1019 zenity 7,976 0.01%
#1020 gifsicle 7,967 0.01%
#1021 cargo-binstall 7,967 0.01%
#1022 pmd 7,966 0.01%
#1023 apple/apple/game-porting-toolkit 7,963 0.01%
#1024 cyclonedx/cyclonedx/cyclonedx-cli 7,943 0.01%
#1025 jdtls 7,932 0.01%
#1026 sqlc 7,930 0.01%
#1027 spring-io/tap/spring-boot 7,926 0.01%
#1028 yj 7,882 0.01%
#1029 sqlcmd 7,869 0.01%
#1030 robusta-dev/krr/krr 7,854 0.01%
#1031 cargo-c 7,841 0.01%
#1032 aquaproj/aqua/aqua 7,824 0.01%
#1033 libressl 7,818 0.01%
#1034 ffmpegthumbnailer 7,804 0.01%
#1035 sqlfluff 7,782 0.01%
#1036 trufflesecurity/trufflehog/trufflehog 7,779 0.01%
#1037 webpack 7,737 0.01%
#1038 you-get 7,737 0.01%
#1039 sq 7,722 0.01%
#1040 yara 7,719 0.01%
#1041 yubico-piv-tool 7,687 0.01%
#1042 wasmtime 7,686 0.01%
#1043 entr 7,672 0.01%
#1044 mongodb/brew/mongodb-community@7.0 7,659 0.01%
#1045 pigz 7,658 0.01%
#1046 pstree 7,627 0.01%
#1047 mxcl/made/swift-sh 7,623 0.01%
#1048 scc 7,618 0.01%
#1049 fdupes 7,612 0.01%
#1050 xclip 7,610 0.01%
#1051 rye 7,565 0.01%
#1052 figlet 7,541 0.01%
#1053 gcc@12 7,538 0.01%
#1054 kcat 7,534 0.01%
#1055 kubebuilder 7,520 0.01%
#1056 netlify-cli 7,511 0.01%
#1057 md5deep 7,510 0.01%
#1058 pixi 7,507 0.01%
#1059 heroku 7,496 0.01%
#1060 sl 7,493 0.01%
#1061 azure/azd/azd 7,481 0.01%
#1062 hyperkit 7,472 0.01%
#1063 llvm@17 7,470 0.01%
#1064 confluentinc/tap/cli 7,466 0.01%
#1065 flake8 7,461 0.01%
#1066 aspell 7,439 0.01%
#1067 python-requests 7,439 0.01%
#1068 gitversion 7,435 0.01%
#1069 vulkan-loader 7,434 0.01%
#1070 node-sass 7,414 0.01%
#1071 bc 7,401 0.01%
#1072 jesseduffield/lazydocker/lazydocker 7,359 0.01%
#1073 tenv 7,341 0.01%
#1074 pdfgrep 7,310 0.01%
#1075 influxdb 7,287 0.01%
#1076 telegraf 7,286 0.01%
#1077 repo 7,276 0.01%
#1078 mongodb/brew/mongodb-community@4.4 7,274 0.01%
#1079 cdrtools 7,252 0.01%
#1080 gtk-mac-integration 7,252 0.01%
#1081 planetscale/tap/pscale 7,246 0.01%
#1082 protobuf@21 7,235 0.01%
#1083 newman 7,216 0.01%
#1084 felixherrmann/tap/swift-package-list 7,206 0.01%
#1085 mscgen 7,185 0.01%
#1086 hcloud 7,175 0.01%
#1087 fairwindsops/tap/pluto 7,174 0.01%
#1088 cocogitto 7,170 0.01%
#1089 superfile 7,142 0.01%
#1090 aliyun-cli 7,133 0.01%
#1091 flac 7,075 0.01%
#1092 kubelogin 7,040 0.01%
#1093 twilio/brew/twilio 7,002 0.01%
#1094 protobuf@3 6,994 0.01%
#1095 bpython 6,987 0.01%
#1096 ruby@3.0 6,982 0.01%
#1097 fastly/tap/fastly 6,981 0.01%
#1098 libxext 6,975 0.01%
#1099 dysk 6,952 0.01%
#1100 oniguruma 6,952 0.01%
#1101 haproxy 6,951 0.01%
#1102 lacework/tap/lacework-cli 6,921 0.01%
#1103 dagger/tap/dagger 6,920 0.01%
#1104 ipfs 6,916 0.01%
#1105 mongocli 6,901 0.01%
#1106 mame 6,895 0.01%
#1107 ruby@3.2 6,893 0.01%
#1108 arp-scan 6,891 0.01%
#1109 cherrytree 6,888 0.01%
#1110 cloudfoundry/tap/cf-cli@8 6,884 0.01%
#1111 john 6,879 0.01%
#1112 optipng 6,872 0.01%
#1113 binaryen 6,871 0.01%
#1114 dolt 6,869 0.01%
#1115 neovide 6,836 0.01%
#1116 re2c 6,831 0.01%
#1117 oath-toolkit 6,820 0.01%
#1118 dbus 6,817 0.01%
#1119 mpdecimal 6,815 0.01%
#1120 rom-tools 6,811 0.01%
#1121 openfortivpn 6,806 0.01%
#1122 b2-tools 6,806 0.01%
#1123 mongodb/brew/mongodb-community-shell 6,799 0.01%
#1124 golangci/tap/golangci-lint 6,781 0.01%
#1125 libmpc 6,777 0.01%
#1126 pandoc-crossref 6,771 0.01%
#1127 lapack 6,748 0.01%
#1128 railway 6,748 0.01%
#1129 samtools 6,720 0.01%
#1130 systemd 6,697 0.01%
#1131 nnn 6,691 0.01%
#1132 nats-server 6,687 0.01%
#1133 cdxgen 6,687 0.01%
#1134 pyinstaller 6,650 0.01%
#1135 railwaycat/emacsmacport/emacs-mac 6,649 0.01%
#1136 openstackclient 6,624 0.01%
#1137 fontforge 6,615 0.01%
#1138 logcli 6,615 0.01%
#1139 osv-scanner 6,604 0.01%
#1140 msgpack-cxx 6,594 0.01%
#1141 obsproject/tools/clang-format@17 6,558 0.01%
#1142 grip 6,545 0.01%
#1143 exploitdb 6,535 0.01%
#1144 pdftk-java 6,532 0.01%
#1145 sidekickmoney/skm/jq 6,522 0.01%
#1146 wp-cli 6,501 0.01%
#1147 libvpx 6,496 0.01%
#1148 yamlfmt 6,486 0.01%
#1149 sslscan 6,486 0.01%
#1150 sidekickmoney/skm/python@3.10 6,485 0.01%
#1151 hashicorp/tap/terraform-ls 6,480 0.01%
#1152 asciinema 6,462 0.01%
#1153 asciidoctor 6,458 0.01%
#1154 talosctl 6,457 0.01%
#1155 php-code-sniffer 6,455 0.01%
#1156 terraform-ls 6,454 0.01%
#1157 mkdocs 6,451 0.01%
#1158 malinskiy/tap/marathon-cloud 6,426 0.01%
#1159 dotnet@6 6,399 0.01%
#1160 tidy-html5 6,393 0.01%
#1161 pypy3.10 6,374 0.01%
#1162 z 6,366 0.01%
#1163 proxychains-ng 6,360 0.01%
#1164 duf 6,335 0.01%
#1165 neomutt 6,322 0.01%
#1166 duck 6,317 0.01%
#1167 blacktop/tap/ipsw 6,293 0.01%
#1168 msgpack 6,265 0.01%
#1169 bdw-gc 6,240 0.01%
#1170 awscli@1 6,230 0.01%
#1171 hashicorp/tap/nomad 6,227 0.01%
#1172 xh 6,225 0.01%
#1173 luv 6,223 0.01%
#1174 v2ray 6,209 0.01%
#1175 open-ocd 6,208 0.01%
#1176 libpeas 6,189 0.01%
#1177 awscurl 6,188 0.01%
#1178 hudochenkov/sshpass/sshpass 6,182 0.01%
#1179 tcpdump 6,176 0.01%
#1180 git-secret 6,168 0.01%
#1181 gradle@7 6,163 0.01%
#1182 cpprestsdk 6,158 0.01%
#1183 latexindent 6,146 0.01%
#1184 rocksdb 6,137 0.01%
#1185 hurl 6,131 0.01%
#1186 arm-linux-gnueabihf-binutils 6,130 0.01%
#1187 postgresql@11 6,127 0.01%
#1188 cpanminus 6,124 0.01%
#1189 shivammathur/php/php@7.0 6,120 0.01%
#1190 innoextract 6,117 0.01%
#1191 fluent-bit 6,099 0.01%
#1192 imath 6,098 0.01%
#1193 anchore/grype/grype 6,094 0.01%
#1194 uvicorn 6,067 0.01%
#1195 defenseunicorns/tap/uds@0.10.4 6,052 0.01%
#1196 sing-box 6,044 0.01%
#1197 logstash 6,043 0.01%
#1198 w3m 6,036 0.01%
#1199 okta-aws-cli 6,032 0.01%
#1200 valgrind 6,024 0.01%
#1201 code-server 6,016 0.01%
#1202 vera++ 6,013 0.01%
#1203 libmaxminddb 6,010 0.01%
#1204 evince 6,008 0.01%
#1205 wrk 5,994 0.01%
#1206 faas-cli 5,987 0.01%
#1207 html2text 5,987 0.01%
#1208 pypy 5,980 0.01%
#1209 pass 5,976 0.01%
#1210 goose 5,973 0.01%
#1211 poco 5,966 0.01%
#1212 brotli 5,952 0.01%
#1213 kovetskiy/mark/mark 5,941 0.01%
#1214 defenseunicorns/tap/uds@0.17.0 5,940 0.01%
#1215 turbot/tap/steampipe 5,937 0.01%
#1216 tiger-vnc 5,937 0.01%
#1217 mariadb@10.6 5,905 0.01%
#1218 infisical/get-cli/infisical 5,898 0.01%
#1219 bettercap 5,888 0.01%
#1220 dialog 5,867 0.01%
#1221 protoc-gen-go-grpc 5,864 0.01%
#1222 mcfly 5,858 0.01%
#1223 freeglut 5,858 0.01%
#1224 tailwindcss 5,856 0.01%
#1225 base64 5,855 0.01%
#1226 awscli-local 5,854 0.01%
#1227 torchvision 5,845 0.01%
#1228 kops 5,828 0.01%
#1229 meilisearch 5,819 0.01%
#1230 aws-nuke 5,813 0.01%
#1231 colordiff 5,811 0.01%
#1232 vapor 5,802 0.01%
#1233 pkl 5,800 0.01%
#1234 xterm 5,792 0.01%
#1235 astyle 5,791 0.01%
#1236 sane-backends 5,757 0.01%
#1237 pure 5,753 0.01%
#1238 rubberband 5,748 0.01%
#1239 gcc@13 5,746 0.01%
#1240 nats-io/nats-tools/nats 5,737 0.01%
#1241 lolcat 5,721 0.01%
#1242 wpscanteam/tap/wpscan 5,696 0.01%
#1243 baobab 5,696 0.01%
#1244 rswift 5,691 0.01%
#1245 transcrypt 5,675 0.01%
#1246 libgsf 5,662 0.01%
#1247 openslide 5,661 0.01%
#1248 felixkratz/formulae/borders 5,657 0.01%
#1249 watchexec 5,651 0.01%
#1250 go@1.20 5,638 0.01%
#1251 leiningen 5,634 0.01%
#1252 terrascan 5,632 0.01%
#1253 opensc 5,623 0.01%
#1254 snapcraft 5,619 0.01%
#1255 glm 5,617 0.01%
#1256 prowler 5,605 0.01%
#1257 socket_vmnet 5,599 0.01%
#1258 cmctl 5,594 0.01%
#1259 microsoft/mssql-release/msodbcsql 5,590 0.01%
#1260 git-town 5,583 0.01%
#1261 leveldb 5,582 0.01%
#1262 phpstan 5,582 0.01%
#1263 ocaml 5,575 0.01%
#1264 zplug 5,573 0.01%
#1265 hatch 5,570 0.01%
#1266 irssi 5,556 0.01%
#1267 coder 5,555 0.01%
#1268 testkube 5,537 0.01%
#1269 xray 5,534 0.01%
#1270 sd 5,530 0.01%
#1271 git-flow-avh 5,490 0.01%
#1272 bash-language-server 5,480 0.01%
#1273 python-gdbm@3.11 5,468 0.01%
#1274 lf 5,466 0.01%
#1275 borkdude/brew/babashka 5,465 0.01%
#1276 ccls 5,455 0.01%
#1277 flow 5,454 0.01%
#1278 terramate 5,450 0.01%
#1279 diffoscope 5,445 0.01%
#1280 mackup 5,434 0.01%
#1281 aws/tap/copilot-cli 5,419 0.01%
#1282 m4 5,417 0.01%
#1283 mono-libgdiplus 5,403 0.01%
#1284 git-annex 5,398 0.01%
#1285 stylua 5,397 0.01%
#1286 arpack 5,387 0.01%
#1287 ipcalc 5,382 0.01%
#1288 imlib2 5,349 0.01%
#1289 gdu 5,342 0.01%
#1290 gambit-scheme 5,332 0.01%
#1291 marp-cli 5,326 0.01%
#1292 virtualenvwrapper 5,321 0.01%
#1293 fping 5,317 0.01%
#1294 ghr 5,313 0.01%
#1295 tippecanoe 5,310 0.01%
#1296 libwebsockets 5,306 0.01%
#1297 mpd 5,301 0.01%
#1298 jless 5,290 0.01%
#1299 displayplacer 5,282 0.01%
#1300 xfreebird/utils/kcpassword 5,264 0.01%
#1301 pkgxdev/made/pkgx 5,255 0.01%
#1302 gradle@6 5,251 0.01%
#1303 ctags 5,246 0.01%
#1304 clusterctl 5,244 0.01%
#1305 fortune 5,230 0.01%
#1306 tinygo-org/tools/tinygo 5,223 0.01%
#1307 redpanda-data/tap/redpanda 5,219 0.01%
#1308 pymol 5,178 0.01%
#1309 miniupnpc 5,169 0.01%
#1310 armadillo 5,166 0.01%
#1311 dotnet@8 5,162 0.01%
#1312 jd 5,149 0.01%
#1313 kubescape 5,147 0.01%
#1314 uhd 5,145 0.01%
#1315 tilt-dev/tap/tilt 5,136 0.01%
#1316 kubecolor 5,133 0.01%
#1317 dosbox-x 5,131 0.01%
#1318 veracode/tap/gen-ir 5,126 0.01%
#1319 git-cola 5,124 0.01%
#1320 serverless 5,117 0.01%
#1321 metalbear-co/mirrord/mirrord 5,111 0.01%
#1322 lizardbyte/homebrew/sunshine-beta 5,094 0.01%
#1323 wabt 5,092 0.01%
#1324 mutt 5,092 0.01%
#1325 coursier 5,070 0.01%
#1326 gifski 5,058 0.01%
#1327 python-argcomplete 5,055 0.01%
#1328 hashicorp/tap/consul 5,026 0.01%
#1329 gnumeric 5,019 0.01%
#1330 openimageio 5,014 0.01%
#1331 dtc 5,012 0.01%
#1332 navi 5,002 0.01%
#1333 rustscan 5,001 0.01%
#1334 nim 4,998 0.01%
#1335 robotsandpencils/made/xcodes 4,984 0.01%
#1336 terraformer 4,982 0.01%
#1337 aws-sdk-cpp 4,970 0.01%
#1338 danger/tap/danger-js 4,966 0.01%
#1339 vespa-cli 4,939 0.01%
#1340 mactop 4,939 0.01%
#1341 cloud-sql-proxy 4,937 0.01%
#1342 pyyaml 4,934 0.01%
#1343 influxdb-cli 4,927 0.01%
#1344 asciidoc 4,925 0.01%
#1345 lrzsz 4,921 0.01%
#1346 cabal-install 4,915 0%
#1347 terminator 4,907 0%
#1348 libxaw 4,906 0%
#1349 codecrafters-io/tap/codecrafters 4,904 0%
#1350 axel 4,900 0%
#1351 packer 4,897 0%
#1352 iftop 4,884 0%
#1353 tgenv 4,883 0%
#1354 rbenv/tap/openssl@1.0 4,856 0%
#1355 check-jsonschema 4,847 0%
#1356 vmware-tanzu/carvel/vendir 4,842 0%
#1357 espeak-ng 4,830 0%
#1358 wasmer 4,825 0%
#1359 supervisor 4,824 0%
#1360 libnice 4,817 0%
#1361 sdl12-compat 4,813 0%
#1362 mold 4,805 0%
#1363 dlib 4,804 0%
#1364 gobuster 4,804 0%
#1365 snap 4,797 0%
#1366 govc 4,795 0%
#1367 libgedit-gtksourceview 4,787 0%
#1368 gzip 4,783 0%
#1369 x86_64-linux-gnu-binutils 4,782 0%
#1370 netdata 4,774 0%
#1371 exiv2 4,756 0%
#1372 xcode-build-server 4,754 0%
#1373 contentful-cli 4,754 0%
#1374 sheldon 4,734 0%
#1375 raylib 4,730 0%
#1376 oha 4,723 0%
#1377 catch2 4,714 0%
#1378 onefetch 4,709 0%
#1379 libtirpc 4,703 0%
#1380 brew-php-switcher 4,688 0%
#1381 cockroachdb/tap/cockroach 4,684 0%
#1382 cups 4,681 0%
#1383 mistertea/et/et 4,680 0%
#1384 nikto 4,664 0%
#1385 git-quick-stats 4,663 0%
#1386 mariadb-connector-c 4,660 0%
#1387 activemq 4,657 0%
#1388 pymupdf 4,646 0%
#1389 node_exporter 4,645 0%
#1390 links 4,642 0%
#1391 highway 4,634 0%
#1392 rain 4,626 0%
#1393 xq 4,614 0%
#1394 minacle/chntpw/chntpw 4,612 0%
#1395 libxrender 4,612 0%
#1396 pulseaudio 4,602 0%
#1397 checkmarx/ast-cli/ast-cli 4,600 0%
#1398 juliaup 4,600 0%
#1399 asio 4,595 0%
#1400 autossh 4,592 0%
#1401 goaccess 4,590 0%
#1402 cargo-udeps 4,584 0%
#1403 elixir-ls 4,578 0%
#1404 tcl-tk@8 4,565 0%
#1405 sherlock 4,558 0%
#1406 httpx 4,555 0%
#1407 devcontainer 4,550 0%
#1408 sidekickmoney/skm/terraform 4,547 0%
#1409 libdvdcss 4,546 0%
#1410 aarch64-elf-binutils 4,543 0%
#1411 twine 4,543 0%
#1412 dua-cli 4,542 0%
#1413 fastapi 4,531 0%
#1414 atlas 4,529 0%
#1415 yoheimuta/protolint/protolint 4,513 0%
#1416 buildozer 4,513 0%
#1417 terminal-notifier 4,509 0%
#1418 cmus 4,505 0%
#1419 espeak 4,488 0%
#1420 zx 4,482 0%
#1421 gcovr 4,466 0%
#1422 dagger 4,455 0%
#1423 timescale/tap/timescaledb 4,453 0%
#1424 firefoxpwa 4,448 0%
#1425 nkf 4,446 0%
#1426 rfidresearchgroup/proxmark3/proxmark3 4,442 0%
#1427 vulkan-tools 4,433 0%
#1428 google-java-format 4,432 0%
#1429 bloaty 4,431 0%
#1430 john-jumbo 4,431 0%
#1431 newrelic-cli 4,429 0%
#1432 aws-sso-util 4,426 0%
#1433 newsboat 4,406 0%
#1434 terraform-docs/tap/terraform-docs 4,401 0%
#1435 biome 4,398 0%
#1436 trash 4,397 0%
#1437 cue 4,387 0%
#1438 whois 4,386 0%
#1439 filosottile/musl-cross/musl-cross 4,381 0%
#1440 libgedit-tepl 4,380 0%
#1441 sysbench 4,373 0%
#1442 opendht 4,369 0%
#1443 osrf/simulation/gazebo11 4,369 0%
#1444 git-secrets 4,367 0%
#1445 subfinder 4,366 0%
#1446 k8sgpt 4,342 0%
#1447 mu 4,338 0%
#1448 brew-gem 4,335 0%
#1449 pcl 4,334 0%
#1450 gopass 4,324 0%
#1451 byobu 4,319 0%
#1452 tabbyml/tabby/tabby 4,306 0%
#1453 cloud-nuke 4,294 0%
#1454 icarus-verilog 4,293 0%
#1455 dbt-labs/dbt-cli/dbt 4,290 0%
#1456 v8 4,287 0%
#1457 graph-tool 4,286 0%
#1458 x86_64-elf-gcc 4,286 0%
#1459 snort 4,284 0%
#1460 diff-so-fancy 4,271 0%
#1461 mailhog 4,250 0%
#1462 scala@2.12 4,243 0%
#1463 apr 4,243 0%
#1464 peco 4,237 0%
#1465 json-c 4,231 0%
#1466 icu4c@74 4,219 0%
#1467 cue-lang/tap/cue 4,216 0%
#1468 swift 4,215 0%
#1469 sidekickmoney/skm/curl 4,212 0%
#1470 git-cliff 4,200 0%
#1471 ledger 4,198 0%
#1472 iblinter 4,187 0%
#1473 glibmm 4,182 0%
#1474 hashicorp/tap/boundary 4,170 0%
#1475 mupdf-tools 4,166 0%
#1476 stripe-cli 4,154 0%
#1477 audacious 4,149 0%
#1478 duti 4,148 0%
#1479 odin 4,146 0%
#1480 fisher 4,144 0%
#1481 borgbackup 4,134 0%
#1482 spice-gtk 4,134 0%
#1483 wxmaxima 4,132 0%
#1484 onnxruntime 4,127 0%
#1485 zola 4,113 0%
#1486 kubie 4,110 0%
#1487 tektoncd-cli 4,104 0%
#1488 czkawka 4,091 0%
#1489 surrealdb/tap/surreal 4,089 0%
#1490 sst/tap/sst 4,087 0%
#1491 ffmpeg@5 4,087 0%
#1492 jinja2-cli 4,085 0%
#1493 asyncapi 4,084 0%
#1494 cargo-audit 4,083 0%
#1495 authzed/tap/zed 4,082 0%
#1496 berkeley-db 4,081 0%
#1497 kubent 4,070 0%
#1498 mockolo 4,065 0%
#1499 jsoncpp 4,064 0%
#1500 jeffreywildman/virt-manager/virt-viewer 4,060 0%
#1501 dasel 4,047 0%
#1502 gnu-time 4,038 0%
#1503 balena-cli 4,031 0%
#1504 esolitos/ipa/sshpass 4,028 0%
#1505 ko 4,018 0%
#1506 mdcat 4,014 0%
#1507 hey 4,014 0%
#1508 openresty/brew/openresty 4,011 0%
#1509 promptfoo 4,002 0%
#1510 msmtp 4,002 0%
#1511 siege 3,998 0%
#1512 vegeta 3,985 0%
#1513 repomix 3,984 0%
#1514 staticcheck 3,981 0%
#1515 viddy 3,980 0%
#1516 hubble 3,978 0%
#1517 rbenv/tap/openssl@1.1 3,974 0%
#1518 fio 3,972 0%
#1519 airbytehq/tap/abctl 3,967 0%
#1520 zsh-history-substring-search 3,965 0%
#1521 envoy 3,962 0%
#1522 xpdf 3,955 0%
#1523 git-absorb 3,947 0%
#1524 x264 3,942 0%
#1525 vhs 3,941 0%
#1526 netbirdio/tap/netbird 3,935 0%
#1527 virtuslab/scala-cli/scala-cli 3,927 0%
#1528 texlab 3,925 0%
#1529 gmt 3,920 0%
#1530 libplist 3,903 0%
#1531 cjson 3,894 0%
#1532 net-snmp 3,892 0%
#1533 ldid 3,884 0%
#1534 lesspipe 3,874 0%
#1535 flux 3,873 0%
#1536 tcptraceroute 3,871 0%
#1537 mike-engel/jwt-cli/jwt-cli 3,869 0%
#1538 fltk 3,869 0%
#1539 bitcoin 3,864 0%
#1540 openal-soft 3,860 0%
#1541 yaml-cpp 3,858 0%
#1542 coder/coder/coder 3,855 0%
#1543 atmos 3,847 0%
#1544 rancher-cli 3,847 0%
#1545 moar 3,825 0%
#1546 shivammathur/php/php@8.3 3,823 0%
#1547 frpc 3,821 0%
#1548 pgloader 3,814 0%
#1549 verilator 3,811 0%
#1550 osx-cross/avr/avr-gcc@9 3,807 0%
#1551 gitmoji 3,800 0%
#1552 lammps 3,800 0%
#1553 help2man 3,799 0%
#1554 rlwrap 3,793 0%
#1555 ncftp 3,785 0%
#1556 neonctl 3,781 0%
#1557 libgedit-amtk 3,776 0%
#1558 grpc-swift 3,773 0%
#1559 esbuild 3,772 0%
#1560 tio 3,771 0%
#1561 ansible@9 3,769 0%
#1562 stunnel 3,767 0%
#1563 imessage-exporter 3,765 0%
#1564 ipopt 3,763 0%
#1565 derailed/popeye/popeye 3,760 0%
#1566 platformsh/tap/platformsh-cli 3,757 0%
#1567 pwntools 3,755 0%
#1568 jetbrains/utils/qodana 3,754 0%
#1569 spotify_player 3,751 0%
#1570 marksman 3,748 0%
#1571 danger/tap/danger-swift 3,747 0%
#1572 diff-pdf 3,747 0%
#1573 jc 3,746 0%
#1574 defenseunicorns/tap/uds@0.12.0 3,734 0%
#1575 molecule 3,733 0%
#1576 libosip 3,730 0%
#1577 tuist/tuist/tuist@4.35.0 3,730 0%
#1578 xerces-c 3,718 0%
#1579 newrelic-infra-agent 3,717 0%
#1580 autopep8 3,713 0%
#1581 sysdig 3,712 0%
#1582 libmediainfo 3,705 0%
#1583 yadm 3,700 0%
#1584 isort 3,698 0%
#1585 ddrescue 3,697 0%
#1586 pocketbase 3,697 0%
#1587 apache-arrow-glib 3,684 0%
#1588 gofumpt 3,683 0%
#1589 qsv 3,679 0%
#1590 kubeshark 3,676 0%
#1591 mvnvm 3,673 0%
#1592 adb-enhanced 3,667 0%
#1593 ipmitool 3,666 0%
#1594 bitrise 3,663 0%
#1595 avro-tools 3,657 0%
#1596 stress-ng 3,656 0%
#1597 chart-testing 3,653 0%
#1598 zlib-ng 3,648 0%
#1599 aws-amplify 3,647 0%
#1600 homebank 3,641 0%
#1601 psqlodbc 3,637 0%
#1602 chamber 3,636 0%
#1603 python-build 3,634 0%
#1604 kyverno 3,621 0%
#1605 okteto 3,620 0%
#1606 tuist/tuist/tuist@4.38.1 3,613 0%
#1607 pdal 3,607 0%
#1608 global 3,600 0%
#1609 kubecm 3,592 0%
#1610 ghcup 3,590 0%
#1611 arping 3,584 0%
#1612 livekit-cli 3,572 0%
#1613 gsmartcontrol 3,572 0%
#1614 rqlite 3,570 0%
#1615 usbutils 3,568 0%
#1616 conan@1 3,558 0%
#1617 unpaper 3,553 0%
#1618 libtasn1 3,548 0%
#1619 ubuntu/microk8s/microk8s 3,546 0%
#1620 pyside 3,544 0%
#1621 zegervdv/zathura/zathura 3,541 0%
#1622 git-remote-codecommit 3,527 0%
#1623 zls 3,523 0%
#1624 mapnik 3,508 0%
#1625 datasette 3,500 0%
#1626 mydumper 3,498 0%
#1627 sk 3,498 0%
#1628 gtk-vnc 3,496 0%
#1629 lsof 3,495 0%
#1630 flatbuffers 3,494 0%
#1631 tmuxp 3,493 0%
#1632 xmake 3,492 0%
#1633 rich-cli 3,486 0%
#1634 percona-server 3,483 0%
#1635 django-completion 3,477 0%
#1636 llvm@15 3,474 0%
#1637 crowdin 3,474 0%
#1638 proto 3,471 0%
#1639 yaml-language-server 3,470 0%
#1640 xclogparser 3,470 0%
#1641 muffet 3,460 0%
#1642 bcftools 3,459 0%
#1643 cog 3,457 0%
#1644 gpac 3,448 0%
#1645 rebar3 3,441 0%
#1646 sidekickmoney/skm/icu4c 3,441 0%
#1647 awslogs 3,437 0%
#1648 luajit-openresty 3,421 0%
#1649 hunspell 3,417 0%
#1650 defenseunicorns/tap/uds@0.16.0 3,415 0%
#1651 bat-extras 3,411 0%
#1652 cdktf 3,409 0%
#1653 auth0/auth0-cli/auth0 3,403 0%
#1654 lightgbm 3,393 0%
#1655 ruby@2.7 3,386 0%
#1656 ffuf 3,381 0%
#1657 apache-flink 3,378 0%
#1658 miller 3,367 0%
#1659 temporalio/brew/tcld 3,365 0%
#1660 doggo 3,363 0%
#1661 llvm@14 3,357 0%
#1662 htslib 3,357 0%
#1663 jnv 3,349 0%
#1664 grafana/grafana/alloy 3,349 0%
#1665 dartsim 3,345 0%
#1666 postgrest 3,340 0%
#1667 manim 3,334 0%
#1668 devil 3,334 0%
#1669 freeciv 3,330 0%
#1670 sponge 3,330 0%
#1671 anyenv 3,320 0%
#1672 cypher-shell 3,319 0%
#1673 datawire/blackbird/telepresence 3,315 0%
#1674 redis@6.2 3,313 0%
#1675 gperftools 3,313 0%
#1676 ynqa/tap/jnv 3,313 0%
#1677 frei0r 3,312 0%
#1678 tomcat@8 3,311 0%
#1679 osmium-tool 3,309 0%
#1680 markdownlint-cli2 3,307 0%
#1681 goreleaser/tap/goreleaser-pro 3,305 0%
#1682 amplitude/ampli/ampli 3,305 0%
#1683 mailsy 3,302 0%
#1684 asitop 3,299 0%
#1685 gtksourceview4 3,288 0%
#1686 defenseunicorns/tap/uds@0.9.2 3,287 0%
#1687 carapace 3,283 0%
#1688 libxau 3,279 0%
#1689 devantler/formulas/ksail 3,271 0%
#1690 spoof-mac 3,268 0%
#1691 aws-console 3,261 0%
#1692 consul-template 3,254 0%
#1693 ctop 3,254 0%
#1694 editorconfig 3,247 0%
#1695 libqalculate 3,243 0%
#1696 xonsh 3,242 0%
#1697 stockfish 3,240 0%
#1698 rdkit 3,236 0%
#1699 solana 3,235 0%
#1700 cava 3,219 0%
#1701 easy-rsa 3,218 0%
#1702 pnpm@8 3,216 0%
#1703 lux 3,214 0%
#1704 hcxtools 3,210 0%
#1705 detect-secrets 3,210 0%
#1706 gitg 3,209 0%
#1707 gpatch 3,208 0%
#1708 librtlsdr 3,206 0%
#1709 sshs 3,201 0%
#1710 aws-shell 3,198 0%
#1711 lilypond 3,190 0%
#1712 trino 3,190 0%
#1713 fop 3,189 0%
#1714 sonarqube-lts 3,186 0%
#1715 ruff-lsp 3,186 0%
#1716 screenfetch 3,184 0%
#1717 defenseunicorns/tap/uds@0.14.0 3,182 0%
#1718 mesheryctl 3,182 0%
#1719 bats-core/bats-core/bats-assert 3,180 0%
#1720 dex2jar 3,177 0%
#1721 sidekickmoney/skm/docker 3,171 0%
#1722 steampipe 3,169 0%
#1723 libplacebo 3,169 0%
#1724 extra-cmake-modules 3,164 0%
#1725 bzt 3,161 0%
#1726 amass 3,160 0%
#1727 grpc@1.54 3,159 0%
#1728 pact-foundation/pact-ruby-standalone/pact-ruby-standalone 3,158 0%
#1729 siril 3,157 0%
#1730 asciiquarium 3,148 0%
#1731 mecab-ipadic 3,137 0%
#1732 aptos 3,130 0%
#1733 cfitsio 3,122 0%
#1734 bats-core/bats-core/bats-support 3,122 0%
#1735 opencascade 3,119 0%
#1736 file-formula 3,114 0%
#1737 scala@2.13 3,106 0%
#1738 folderify 3,105 0%
#1739 cmocka 3,104 0%
#1740 flux-iac/tap/tfctl 3,100 0%
#1741 bandwhich 3,092 0%
#1742 peak/tap/s5cmd 3,086 0%
#1743 earthly 3,085 0%
#1744 howdoi 3,079 0%
#1745 bpytop 3,075 0%
#1746 libvmaf 3,073 0%
#1747 libbluray 3,073 0%
#1748 flann 3,069 0%
#1749 antlr 3,068 0%
#1750 toilet 3,052 0%
#1751 go@1.23 3,049 0%
#1752 lerna 3,049 0%
#1753 sqlcipher 3,041 0%
#1754 hexyl 3,034 0%
#1755 libvirt-python 3,029 0%
#1756 oxipng 3,025 0%
#1757 litecli 3,014 0%
#1758 autogen 3,008 0%
#1759 azure/bicep/bicep 3,006 0%
#1760 trunk 2,999 0%
#1761 cloudquery/tap/cloudquery 2,997 0%
#1762 reattach-to-user-namespace 2,996 0%
#1763 ncmpcpp 2,992 0%
#1764 geoip 2,992 0%
#1765 protolint 2,987 0%
#1766 speexdsp 2,987 0%
#1767 speakeasy-api/tap/speakeasy 2,985 0%
#1768 freeradius-server 2,984 0%
#1769 eccodes 2,983 0%
#1770 kreuzwerker/taps/m1-terraform-provider-helper 2,982 0%
#1771 hledger 2,978 0%
#1772 gnu-which 2,970 0%
#1773 hidapi 2,969 0%
#1774 metabase 2,968 0%
#1775 libsmi 2,967 0%
#1776 svgo 2,962 0%
#1777 rke 2,957 0%
#1778 checkstyle 2,950 0%
#1779 bats-core/bats-core/bats-file 2,949 0%
#1780 aws-sso-cli 2,939 0%
#1781 traefik 2,937 0%
#1782 kudobuilder/tap/kuttl-cli 2,929 0%
#1783 mogenerator 2,922 0%
#1784 borkdude/brew/clj-kondo 2,917 0%
#1785 wireguard-go 2,914 0%
#1786 geeqie 2,906 0%
#1787 code-cli 2,905 0%
#1788 theharvester 2,894 0%
#1789 gitea 2,894 0%
#1790 ankitpokhrel/jira-cli/jira-cli 2,893 0%
#1791 pdf2svg 2,889 0%
#1792 babel 2,886 0%
#1793 tcping 2,880 0%
#1794 notmuch 2,878 0%
#1795 arm-none-eabi-gdb 2,876 0%
#1796 go-swagger/go-swagger/go-swagger 2,875 0%
#1797 libirecovery 2,873 0%
#1798 nexttrace 2,869 0%
#1799 goodwithtech/r/dockle 2,861 0%
#1800 jemalloc 2,860 0%
#1801 sstp-client 2,859 0%
#1802 libsecret 2,857 0%
#1803 gtkmm3 2,851 0%
#1804 python-lxml 2,850 0%
#1805 minimal-racket 2,844 0%
#1806 mdbook 2,838 0%
#1807 particle-iot/brew/prtcl 2,838 0%
#1808 pcsc-lite 2,836 0%
#1809 mdless 2,832 0%
#1810 getsentry/tools/sentry-wizard 2,823 0%
#1811 zeek 2,817 0%
#1812 mlt 2,815 0%
#1813 chromaprint 2,814 0%
#1814 stylelint 2,810 0%
#1815 minetest 2,810 0%
#1816 fern-api 2,809 0%
#1817 mplayer 2,806 0%
#1818 check 2,805 0%
#1819 dockerize 2,803 0%
#1820 cfssl 2,802 0%
#1821 wardenenv/warden/warden 2,800 0%
#1822 trippy 2,796 0%
#1823 pstoedit 2,791 0%
#1824 gnupg@2.2 2,787 0%
#1825 mdbtools 2,783 0%
#1826 runme 2,782 0%
#1827 screenresolution 2,782 0%
#1828 anchore/syft/syft 2,779 0%
#1829 mac-cleanup/mac-cleanup-py/mac-cleanup-py 2,777 0%
#1830 fcrackzip 2,773 0%
#1831 micronaut 2,770 0%
#1832 npm-check-updates 2,764 0%
#1833 snakemake 2,763 0%
#1834 colmap 2,762 0%
#1835 devspace 2,761 0%
#1836 glibc 2,761 0%
#1837 libpqxx 2,760 0%
#1838 werf 2,755 0%
#1839 glog 2,754 0%
#1840 spidermonkey 2,752 0%
#1841 go@1.19 2,749 0%
#1842 nifi 2,733 0%
#1843 gperf 2,732 0%
#1844 duplicity 2,725 0%
#1845 tectonic 2,725 0%
#1846 libtorrent-rasterbar 2,723 0%
#1847 hackrf 2,719 0%
#1848 vcluster 2,717 0%
#1849 remotemobprogramming/brew/mob 2,716 0%
#1850 pygit2 2,714 0%
#1851 knative/client/kn 2,712 0%
#1852 yosys 2,711 0%
#1853 protoc-gen-grpc-web 2,709 0%
#1854 spacelift-io/spacelift/spacectl 2,709 0%
#1855 linode-cli 2,708 0%
#1856 calc 2,706 0%
#1857 tnftp 2,703 0%
#1858 ed 2,700 0%
#1859 antigen 2,693 0%
#1860 gromacs 2,689 0%
#1861 csvlens 2,689 0%
#1862 xrootd 2,682 0%
#1863 mariadb@10.11 2,682 0%
#1864 dprint 2,674 0%
#1865 shadowsocks-rust 2,672 0%
#1866 sdkman/tap/sdkman-cli 2,658 0%
#1867 fceux 2,656 0%
#1868 ki18n 2,655 0%
#1869 languagetool 2,649 0%
#1870 efl 2,649 0%
#1871 jpegoptim 2,647 0%
#1872 ammonite-repl 2,643 0%
#1873 borgmatic 2,642 0%
#1874 itstool 2,639 0%
#1875 tinyxml2 2,638 0%
#1876 filebeat 2,626 0%
#1877 log4cxx 2,625 0%
#1878 lynis 2,624 0%
#1879 tailspin 2,620 0%
#1880 libmemcached 2,618 0%
#1881 wxpython 2,617 0%
#1882 lzip 2,616 0%
#1883 imapsync 2,612 0%
#1884 osx-cpu-temp 2,611 0%
#1885 unoconv 2,611 0%
#1886 qalculate-gtk 2,608 0%
#1887 grunt-cli 2,603 0%
#1888 libidn 2,602 0%
#1889 solr 2,601 0%
#1890 tanka 2,594 0%
#1891 tuist/tuist/tuist@4.20.0 2,593 0%
#1892 mongo-c-driver 2,591 0%
#1893 sniffnet 2,590 0%
#1894 newt 2,590 0%
#1895 whistle 2,582 0%
#1896 tuist/tuist/tuist@4.33.0 2,581 0%
#1897 gmime 2,576 0%
#1898 f3 2,575 0%
#1899 scikit-image 2,574 0%
#1900 fdk-aac 2,574 0%
#1901 gmsh 2,574 0%
#1902 carlocab/personal/unrar 2,574 0%
#1903 picocom 2,567 0%
#1904 melange 2,566 0%
#1905 livekit 2,565 0%
#1906 nco 2,564 0%
#1907 defenseunicorns/tap/uds@0.15.0 2,561 0%
#1908 dlr-ts/sumo/sumo 2,561 0%
#1909 grc 2,559 0%
#1910 macchina 2,558 0%
#1911 karchive 2,552 0%
#1912 messense/macos-cross-toolchains/x86_64-unknown-linux-gnu 2,546 0%
#1913 systemc 2,538 0%
#1914 pdfpc 2,537 0%
#1915 aws/tap/eks-node-viewer 2,534 0%
#1916 lame 2,533 0%
#1917 nshipster/formulae/gyb 2,530 0%
#1918 taplo 2,529 0%
#1919 libxdmcp 2,529 0%
#1920 cheat 2,529 0%
#1921 aztfexport 2,526 0%
#1922 c-blosc 2,525 0%
#1923 libxi 2,523 0%
#1924 mpi4py 2,522 0%
#1925 sqlite-utils 2,520 0%
#1926 csound 2,520 0%
#1927 glpk 2,519 0%
#1928 kubecolor/tap/kubecolor 2,514 0%
#1929 crun 2,509 0%
#1930 antidote 2,507 0%
#1931 rtabmap 2,507 0%
#1932 jruby 2,502 0%
#1933 ghokun/tap/m3u-checker 2,495 0%
#1934 brew-cask-completion 2,495 0%
#1935 fprobe 2,495 0%
#1936 tfupdate 2,494 0%
#1937 copa 2,491 0%
#1938 scw 2,488 0%
#1939 source-highlight 2,487 0%
#1940 pantheon-systems/external/terminus 2,487 0%
#1941 geoipupdate 2,484 0%
#1942 jansson 2,477 0%
#1943 libexif 2,474 0%
#1944 homeport/tap/termshot 2,474 0%
#1945 rabbitmq-c 2,472 0%
#1946 git-review 2,469 0%
#1947 cdo 2,462 0%
#1948 feh 2,462 0%
#1949 libusb-compat 2,459 0%
#1950 rollup 2,458 0%
#1951 xdot 2,456 0%
#1952 v2raya/v2raya/v2raya 2,446 0%
#1953 gflags 2,446 0%
#1954 lzo 2,445 0%
#1955 gromgit/fuse/sshfs-mac 2,441 0%
#1956 ttyd 2,439 0%
#1957 d12frosted/emacs-plus/emacs-plus@31 2,436 0%
#1958 kong/deck/deck 2,434 0%
#1959 minamijoyo/hcledit/hcledit 2,430 0%
#1960 awsume 2,429 0%
#1961 img2pdf 2,429 0%
#1962 srtp 2,427 0%
#1963 stlink 2,426 0%
#1964 shivammathur/php/php@8.4 2,426 0%
#1965 instaloader 2,423 0%
#1966 vivid 2,421 0%
#1967 defenseunicorns/tap/uds@0.9.4 2,414 0%
#1968 maturin 2,412 0%
#1969 viu 2,411 0%
#1970 sapling 2,410 0%
#1971 leoafarias/fvm/fvm@3.2.1 2,408 0%
#1972 rtorrent 2,400 0%
#1973 monolith 2,397 0%
#1974 bearer/tap/bearer 2,394 0%
#1975 libxt 2,392 0%
#1976 gnu-indent 2,392 0%
#1977 or-tools 2,388 0%
#1978 ffms2 2,385 0%
#1979 pyenv-virtualenvwrapper 2,384 0%
#1980 ggshield 2,383 0%
#1981 kdoctools 2,378 0%
#1982 groovysdk 2,377 0%
#1983 graphite2 2,369 0%
#1984 rbenv-bundler 2,367 0%
#1985 sqlparse 2,362 0%
#1986 delphinus/sfmono-square/sfmono-square 2,361 0%
#1987 consul 2,357 0%
#1988 osm2pgsql 2,355 0%
#1989 pangomm 2,348 0%
#1990 jack 2,346 0%
#1991 npth 2,345 0%
#1992 minizip 2,342 0%
#1993 ettercap 2,342 0%
#1994 hivemq/mqtt-cli/mqtt-cli 2,340 0%
#1995 uwsgi 2,338 0%
#1996 git-machete 2,338 0%
#1997 xo/xo/usql 2,338 0%
#1998 benthos 2,336 0%
#1999 dockutil 2,333 0%
#2000 syslog-ng 2,322 0%
#2001 calicoctl 2,310 0%
#2002 marked 2,310 0%
#2003 timg 2,308 0%
#2004 gmic 2,303 0%
#2005 aarch64-elf-gcc 2,303 0%
#2006 joplin-cli 2,299 0%
#2007 minisign 2,293 0%
#2008 dapr/tap/dapr-cli 2,291 0%
#2009 glibmm@2.66 2,291 0%
#2010 jstkdng/programs/ueberzugpp 2,290 0%
#2011 fig2dev 2,287 0%
#2012 rtl_433 2,286 0%
#2013 icu4c@77 2,283 0%
#2014 rakudo-star 2,282 0%
#2015 aravis 2,277 0%
#2016 randomplum/gtkwave/gtkwave 2,277 0%
#2017 vault-cli 2,276 0%
#2018 ansifilter 2,275 0%
#2019 mysql-client@5.7 2,275 0%
#2020 nvtop 2,272 0%
#2021 wez/wezterm-linuxbrew/wezterm 2,272 0%
#2022 mapserver 2,272 0%
#2023 curlie 2,270 0%
#2024 libphonenumber 2,263 0%
#2025 cargo-nextest 2,263 0%
#2026 svtplay-dl 2,262 0%
#2027 augeas 2,259 0%
#2028 flashrom 2,256 0%
#2029 xorg-server 2,254 0%
#2030 testssl 2,251 0%
#2031 appstream 2,250 0%
#2032 shopify/shopify/themekit 2,250 0%
#2033 scrapy 2,250 0%
#2034 basex 2,249 0%
#2035 libxmlb 2,248 0%
#2036 double-conversion 2,247 0%
#2037 aws-google-auth 2,245 0%
#2038 xctesthtmlreport/xchtmlreport/xchtmlreport 2,244 0%
#2039 libb2 2,243 0%
#2040 blast 2,237 0%
#2041 nerdctl 2,237 0%
#2042 lighttpd 2,235 0%
#2043 saulpw/vd/visidata 2,233 0%
#2044 kubernetes-cli@1.29 2,233 0%
#2045 mtools 2,232 0%
#2046 sleepwatcher 2,231 0%
#2047 javacc 2,229 0%
#2048 swaks 2,229 0%
#2049 video-compare 2,227 0%
#2050 jp2a 2,225 0%
#2051 ntopng 2,222 0%
#2052 gphoto2 2,220 0%
#2053 sleuthkit 2,219 0%
#2054 libnghttp3 2,218 0%
#2055 python-tabulate 2,216 0%
#2056 cloudflare/cloudflare/cf-terraforming 2,215 0%
#2057 structurizr-cli 2,214 0%
#2058 potrace 2,211 0%
#2059 biber 2,207 0%
#2060 gcalcli 2,207 0%
#2061 musikcube 2,207 0%
#2062 ripgrep-all 2,207 0%
#2063 cycode 2,205 0%
#2064 joshmedeski/sesh/sesh 2,202 0%
#2065 docbook-xsl 2,201 0%
#2066 ngspice 2,201 0%
#2067 ntp 2,197 0%
#2068 yewtube 2,196 0%
#2069 jhipster 2,194 0%
#2070 rpm2cpio 2,193 0%
#2071 go-jsonnet 2,193 0%
#2072 cloudformation-cli 2,191 0%
#2073 bedtools 2,191 0%
#2074 cbc 2,190 0%
#2075 flock 2,188 0%
#2076 libpulsar 2,184 0%
#2077 sc-im 2,184 0%
#2078 libcbor 2,181 0%
#2079 faircamp 2,174 0%
#2080 libosinfo 2,169 0%
#2081 libewf 2,169 0%
#2082 libgphoto2 2,168 0%
#2083 pidof 2,168 0%
#2084 ansible@8 2,163 0%
#2085 google-benchmark 2,162 0%
#2086 whisper-cpp 2,161 0%
#2087 nicotine-plus 2,158 0%
#2088 percona-xtrabackup 2,151 0%
#2089 gitguardian/tap/ggshield 2,149 0%
#2090 imgproxy 2,148 0%
#2091 argon2 2,147 0%
#2092 pidgin 2,146 0%
#2093 cubejs-cli 2,141 0%
#2094 echidna 2,138 0%
#2095 ruby@3.3 2,138 0%
#2096 xorriso 2,137 0%
#2097 lego 2,137 0%
#2098 ipsw 2,136 0%
#2099 mill 2,132 0%
#2100 zrok 2,129 0%
#2101 atomicparsley 2,127 0%
#2102 jython 2,127 0%
#2103 basedpyright 2,126 0%
#2104 daveshanley/vacuum/vacuum 2,125 0%
#2105 wakatime-cli 2,125 0%
#2106 mat2 2,121 0%
#2107 dnscontrol 2,109 0%
#2108 bash-preexec 2,107 0%
#2109 ossp-uuid 2,098 0%
#2110 vectordotdev/brew/vector 2,095 0%
#2111 mavsdk 2,095 0%
#2112 multitail 2,093 0%
#2113 swtpm 2,091 0%
#2114 h2 2,089 0%
#2115 yle-dl 2,086 0%
#2116 tuist/tuist/tuist@4.22.0 2,084 0%
#2117 glooctl 2,083 0%
#2118 volk 2,082 0%
#2119 defenseunicorns/tap/uds@0.22.0 2,078 0%
#2120 mailutils 2,073 0%
#2121 clojure-lsp/brew/clojure-lsp-native 2,069 0%
#2122 markdown 2,069 0%
#2123 detekt 2,067 0%
#2124 promtail 2,067 0%
#2125 mlx 2,066 0%
#2126 elastic/tap/kibana-full 2,064 0%
#2127 tctl 2,063 0%
#2128 xplr 2,062 0%
#2129 ncspot 2,061 0%
#2130 ox 2,061 0%
#2131 rbspy 2,060 0%
#2132 messense/macos-cross-toolchains/aarch64-unknown-linux-gnu 2,060 0%
#2133 minidlna 2,060 0%
#2134 pluto 2,059 0%
#2135 gtksourceview3 2,055 0%
#2136 kayac/tap/ecspresso 2,052 0%
#2137 defenseunicorns/tap/uds@0.11.0 2,050 0%
#2138 gitu 2,049 0%
#2139 doodlescheduling/flux-build/flux-build 2,049 0%
#2140 x3270 2,047 0%
#2141 usbredir 2,038 0%
#2142 luau 2,035 0%
#2143 libgit2@1.7 2,034 0%
#2144 rosa-cli 2,033 0%
#2145 robot-framework 2,033 0%
#2146 licensefinder 2,031 0%
#2147 feroxbuster 2,030 0%
#2148 qca 2,029 0%
#2149 neon 2,029 0%
#2150 lit 2,023 0%
#2151 toot 2,022 0%
#2152 kcl-lang/tap/kcl 2,019 0%
#2153 desktop-file-utils 2,016 0%
#2154 emqx/mqttx/mqttx-cli 2,013 0%
#2155 go-md2man 2,013 0%
#2156 libopenmpt 2,012 0%
#2157 portmidi 2,012 0%
#2158 typescript-language-server 2,012 0%
#2159 denji/nginx/nginx-full 2,010 0%
#2160 icloudpd 2,008 0%
#2161 sha3sum 2,007 0%
#2162 ipatool 2,007 0%
#2163 pdfcpu 2,006 0%
#2164 isync 2,003 0%
#2165 hyfetch 2,002 0%
#2166 mmv 2,001 0%
#2167 cloudfoundry/tap/cf-cli@7 2,000 0%
#2168 nextdns/tap/nextdns 2,000 0%
#2169 zmap 2,000 0%
#2170 bchunk 1,999 0%
#2171 instantclienttap/instantclient/instantclient-basic 1,997 0%
#2172 codespell 1,995 0%
#2173 opencore-amr 1,993 0%
#2174 nb 1,991 0%
#2175 intltool 1,991 0%
#2176 f3d 1,990 0%
#2177 matplotplusplus 1,989 0%
#2178 faiss 1,988 0%
#2179 chainguard-dev/tap/chainctl 1,985 0%
#2180 fastqc 1,983 0%
#2181 docker-slim 1,982 0%
#2182 makensis 1,979 0%
#2183 privoxy 1,978 0%
#2184 povray 1,978 0%
#2185 gprof2dot 1,974 0%
#2186 asymptote 1,973 0%
#2187 spatialite-gui 1,969 0%
#2188 opusfile 1,967 0%
#2189 libsixel 1,967 0%
#2190 vineyard 1,967 0%
#2191 sqlite-analyzer 1,965 0%
#2192 goffice 1,964 0%
#2193 signal-cli 1,963 0%
#2194 squashfs 1,961 0%
#2195 rover 1,959 0%
#2196 synfig 1,959 0%
#2197 llvm@12 1,959 0%
#2198 vscode-langservers-extracted 1,957 0%
#2199 wget2 1,953 0%
#2200 ldc 1,951 0%
#2201 bazarr 1,951 0%
#2202 pympress 1,951 0%
#2203 aerc 1,947 0%
#2204 jrnl 1,946 0%
#2205 chainloop-cli 1,943 0%
#2206 buildkite/buildkite/buildkite-agent 1,942 0%
#2207 zackelia/formulae/bclm 1,939 0%
#2208 boost-build 1,939 0%
#2209 makedepend 1,938 0%
#2210 ijq 1,937 0%
#2211 mockserver 1,935 0%
#2212 haskell-language-server 1,935 0%
#2213 gcc@9 1,930 0%
#2214 apify-cli 1,926 0%
#2215 include-what-you-use 1,920 0%
#2216 jsonnet-bundler 1,920 0%
#2217 superfly/tap/flyctl 1,919 0%
#2218 chipmk/tap/docker-mac-net-connect 1,917 0%
#2219 louisbrunner/valgrind/valgrind 1,916 0%
#2220 mariadb@10.5 1,915 0%
#2221 clingo 1,913 0%
#2222 inxi 1,912 0%
#2223 get_iplayer 1,909 0%
#2224 libdeflate 1,906 0%
#2225 vpn-slice 1,905 0%
#2226 spirv-llvm-translator 1,903 0%
#2227 masscan 1,901 0%
#2228 mage 1,898 0%
#2229 knot 1,895 0%
#2230 go-size-analyzer 1,892 0%
#2231 lmdb 1,892 0%
#2232 gauge 1,890 0%
#2233 ispell 1,890 0%
#2234 adr-tools 1,888 0%
#2235 cvs 1,887 0%
#2236 libnfs 1,883 0%
#2237 react-native-cli 1,883 0%
#2238 agda 1,882 0%
#2239 pgrouting 1,882 0%
#2240 jaq 1,879 0%
#2241 tuist/tuist/tuist@4.31.0 1,877 0%
#2242 freeimage 1,876 0%
#2243 txn2/tap/kubefwd 1,873 0%
#2244 sqldiff 1,872 0%
#2245 git-credential-oauth 1,872 0%
#2246 node@14 1,871 0%
#2247 cunit 1,871 0%
#2248 xmlto 1,871 0%
#2249 hostess 1,870 0%
#2250 man2html 1,869 0%
#2251 sidekickmoney/skm/awscli 1,867 0%
#2252 lxc 1,866 0%
#2253 apko 1,865 0%
#2254 dosbox-staging 1,865 0%
#2255 artillery 1,865 0%
#2256 ggozad/formulas/oterm 1,864 0%
#2257 unisonweb/unison/unison-language 1,862 0%
#2258 alsa-lib 1,862 0%
#2259 open-babel 1,862 0%
#2260 algertc/kleopatra4mac/kleopatra 1,861 0%
#2261 k8sgpt-ai/k8sgpt/k8sgpt 1,861 0%
#2262 cloud-officer/ci/soup 1,860 0%
#2263 mx 1,857 0%
#2264 zipkin 1,856 0%
#2265 libogg 1,855 0%
#2266 taskwarrior-tui 1,854 0%
#2267 defenseunicorns/tap/zarf 1,854 0%
#2268 qmmp 1,853 0%
#2269 suzuki-shunsuke/github-comment/github-comment 1,853 0%
#2270 rcmdnk/file/brew-file 1,852 0%
#2271 spark 1,850 0%
#2272 microsoft/mssql-release/msodbcsql@13.1.9.2 1,850 0%
#2273 turbot/tap/powerpipe 1,850 0%
#2274 jbang 1,849 0%
#2275 uchardet 1,849 0%
#2276 ocicl 1,847 0%
#2277 tepl 1,846 0%
#2278 pip-tools 1,845 0%
#2279 dynare 1,844 0%
#2280 jql 1,843 0%
#2281 carvel-dev/carvel/ytt 1,843 0%
#2282 mimirtool 1,843 0%
#2283 cdk 1,838 0%
#2284 enzyme 1,836 0%
#2285 defenseunicorns/tap/uds@0.14.2 1,830 0%
#2286 pngpaste 1,827 0%
#2287 travis 1,823 0%
#2288 oauth2l 1,821 0%
#2289 aws/tap/eks-anywhere 1,821 0%
#2290 neosync 1,820 0%
#2291 copier 1,819 0%
#2292 charmbracelet/tap/mods 1,817 0%
#2293 clipboard 1,817 0%
#2294 libsolv 1,817 0%
#2295 zsh-fast-syntax-highlighting 1,810 0%
#2296 spandsp 1,809 0%
#2297 wasm-tools 1,807 0%
#2298 libimagequant 1,805 0%
#2299 lzlib 1,805 0%
#2300 buku 1,805 0%
#2301 translate-shell 1,802 0%
#2302 chapel 1,801 0%
#2303 gwyddion 1,800 0%
#2304 msitools 1,798 0%
#2305 spoofdpi 1,796 0%
#2306 logrotate 1,795 0%
#2307 changie 1,792 0%
#2308 strongswan 1,792 0%
#2309 walk 1,790 0%
#2310 gosec 1,790 0%
#2311 leoafarias/fvm/fvm@3.1.7 1,786 0%
#2312 vde 1,785 0%
#2313 k1low/tap/tbls 1,785 0%
#2314 osm2pgrouting 1,784 0%
#2315 fakeroot 1,783 0%
#2316 rsteube/tap/carapace 1,782 0%
#2317 pdftoipe 1,781 0%
#2318 tpm 1,781 0%
#2319 fwupd 1,779 0%
#2320 foreman 1,779 0%
#2321 cloudfoundry/tap/bosh-cli 1,775 0%
#2322 jsonlint 1,775 0%
#2323 phoneinfoga 1,772 0%
#2324 antiword 1,772 0%
#2325 xsel 1,771 0%
#2326 gtk-doc 1,768 0%
#2327 botan 1,767 0%
#2328 shodan 1,765 0%
#2329 dcmtk 1,765 0%
#2330 chrome-cli 1,763 0%
#2331 internetarchive 1,763 0%
#2332 quicktype 1,763 0%
#2333 aarch64-elf-gdb 1,762 0%
#2334 libde265 1,762 0%
#2335 ansible-creator 1,761 0%
#2336 gibo 1,760 0%
#2337 nixpacks 1,759 0%
#2338 coq 1,758 0%
#2339 librealsense 1,758 0%
#2340 ballerina 1,757 0%
#2341 x86_64-elf-binutils 1,756 0%
#2342 archey4 1,754 0%
#2343 vifm 1,752 0%
#2344 chroma 1,751 0%
#2345 xcode-kotlin 1,751 0%
#2346 phpbrew 1,751 0%
#2347 suricata 1,750 0%
#2348 fortio 1,749 0%
#2349 htmlq 1,745 0%
#2350 openmotif 1,743 0%
#2351 helmify 1,741 0%
#2352 atkmm@2.28 1,741 0%
#2353 rav1e 1,740 0%
#2354 libconfig 1,739 0%
#2355 schemathesis 1,735 0%
#2356 ffmpeg@2.8 1,735 0%
#2357 instantclienttap/instantclient/instantclient-sqlplus 1,734 0%
#2358 thezoraiz/ascii-image-converter/ascii-image-converter 1,732 0%
#2359 humansignal/tap/label-studio 1,731 0%
#2360 bash-git-prompt 1,727 0%
#2361 libxkbcommon 1,727 0%
#2362 urh 1,727 0%
#2363 cfergeau/crc/vfkit 1,727 0%
#2364 simple-tiles 1,727 0%
#2365 visp 1,726 0%
#2366 pspg 1,726 0%
#2367 autoenv 1,726 0%
#2368 mysql-connector-c++ 1,724 0%
#2369 pkcs11-helper 1,718 0%
#2370 web-ext 1,715 0%
#2371 splunk/tap/acs 1,714 0%
#2372 arkade 1,712 0%
#2373 linkerd 1,711 0%
#2374 libftdi 1,711 0%
#2375 bento4 1,711 0%
#2376 dnscrypt-proxy 1,710 0%
#2377 teller 1,707 0%
#2378 python-markdown 1,706 0%
#2379 johanhaleby/kubetail/kubetail 1,701 0%
#2380 prettierd 1,699 0%
#2381 shtool 1,699 0%
#2382 passenger 1,696 0%
#2383 neovim-qt 1,694 0%
#2384 afflib 1,693 0%
#2385 couchdb 1,691 0%
#2386 kakoune 1,689 0%
#2387 vsftpd 1,688 0%
#2388 nowplaying-cli 1,687 0%
#2389 moon 1,686 0%
#2390 usage 1,686 0%
#2391 nlopt 1,684 0%
#2392 mvndaemon/mvnd/mvnd 1,681 0%
#2393 spatialindex 1,681 0%
#2394 tmate 1,679 0%
#2395 libxtst 1,679 0%
#2396 pipdeptree 1,678 0%
#2397 soapyrtlsdr 1,675 0%
#2398 cyrus-sasl 1,672 0%
#2399 osmcoastline 1,671 0%
#2400 fava 1,670 0%
#2401 incus 1,669 0%
#2402 container-structure-test 1,668 0%
#2403 pure-ftpd 1,668 0%
#2404 cqlabs/dcm/dcm 1,667 0%
#2405 flyteorg/tap/flytectl 1,664 0%
#2406 fossil 1,663 0%
#2407 hdf5-mpi 1,662 0%
#2408 defenseunicorns/tap/uds@0.14.1 1,662 0%
#2409 taglib 1,660 0%
#2410 shadowsocks-libev 1,658 0%
#2411 rsyslog 1,658 0%
#2412 collectd 1,658 0%
#2413 mgba 1,657 0%
#2414 proxygen 1,657 0%
#2415 i686-elf-gcc 1,657 0%
#2416 libxmu 1,656 0%
#2417 bowtie2 1,652 0%
#2418 libgr 1,650 0%
#2419 grafana-agent 1,649 0%
#2420 clojure-lsp 1,649 0%
#2421 kardolus/chatgpt-cli/chatgpt-cli 1,648 0%
#2422 cpplint 1,648 0%
#2423 flarectl 1,642 0%
#2424 arxiv_latex_cleaner 1,641 0%
#2425 spirv-tools 1,640 0%
#2426 faudio 1,639 0%
#2427 gtop 1,634 0%
#2428 astrometry-net 1,632 0%
#2429 pangomm@2.46 1,631 0%
#2430 glslviewer 1,630 0%
#2431 sratoolkit 1,628 0%
#2432 zegervdv/zathura/zathura-pdf-poppler 1,625 0%
#2433 man-db 1,625 0%
#2434 cf2tf 1,624 0%
#2435 slither-analyzer 1,622 0%
#2436 checkbashisms 1,621 0%
#2437 kismetwireless/kismet/kismet 1,620 0%
#2438 bastet 1,618 0%
#2439 katago 1,617 0%
#2440 styrainc/packages/regal 1,615 0%
#2441 butane 1,615 0%
#2442 micropython 1,615 0%
#2443 ghex 1,615 0%
#2444 localai 1,612 0%
#2445 hexedit 1,612 0%
#2446 homeassistant-cli 1,611 0%
#2447 felinks 1,611 0%
#2448 zsh-you-should-use 1,610 0%
#2449 tailwindcss-language-server 1,610 0%
#2450 hbase 1,608 0%
#2451 raggi/ale/openssl-osx-ca 1,607 0%
#2452 knqyf263/pet/pet 1,607 0%
#2453 fheroes2 1,604 0%
#2454 pyside@2 1,603 0%
#2455 vlang 1,603 0%
#2456 bmake 1,602 0%
#2457 danielfoehrkn/switch/switch 1,600 0%
#2458 ford 1,598 0%
#2459 nerdfetch 1,594 0%
#2460 rakudo 1,592 0%
#2461 kyoh86/tap/richgo 1,591 0%
#2462 igraph 1,591 0%
#2463 zabbix 1,591 0%
#2464 guetzli 1,589 0%
#2465 rustfmt 1,586 0%
#2466 ghz 1,585 0%
#2467 kopia 1,584 0%
#2468 xsv 1,584 0%
#2469 notcurses 1,583 0%
#2470 fluttergen/tap/fluttergen 1,583 0%
#2471 netcdf-fortran 1,583 0%
#2472 unicorn 1,582 0%
#2473 nethogs 1,580 0%
#2474 flipkart-incubator/taps/jumphost 1,577 0%
#2475 atlassian/tap/atlassian-plugin-sdk 1,575 0%
#2476 zk 1,575 0%
#2477 bwa 1,575 0%
#2478 petsc 1,575 0%
#2479 tsduck 1,572 0%
#2480 gpg-tui 1,570 0%
#2481 flow-cli 1,569 0%
#2482 helm-docs 1,568 0%
#2483 libxcrypt 1,566 0%
#2484 rome 1,562 0%
#2485 pqiv 1,561 0%
#2486 libaacs 1,560 0%
#2487 commitlint 1,560 0%
#2488 opslevel/tap/cli 1,557 0%
#2489 opentelemetry-cpp 1,556 0%
#2490 alembic 1,556 0%
#2491 popeye 1,556 0%
#2492 freeswitch 1,555 0%
#2493 kotlin-language-server 1,551 0%
#2494 valkey 1,551 0%
#2495 hashicorp/tap/vagrant 1,551 0%
#2496 gotop 1,550 0%
#2497 pycodestyle 1,549 0%
#2498 helm-ls 1,548 0%
#2499 zim 1,547 0%
#2500 gnucobol 1,544 0%
#2501 orc 1,544 0%
#2502 garden-io/garden/garden-cli 1,544 0%
#2503 libilbc 1,544 0%
#2504 fox 1,538 0%
#2505 keychain 1,536 0%
#2506 slides 1,535 0%
#2507 qalculate-qt 1,532 0%
#2508 vfox 1,532 0%
#2509 shivammathur/extensions/xdebug@8.3 1,532 0%
#2510 ncnn 1,531 0%
#2511 tika 1,530 0%
#2512 aubio 1,530 0%
#2513 krakend 1,529 0%
#2514 grails 1,527 0%
#2515 snowflakedb/snowflake-cli/snowflake-cli 1,527 0%
#2516 libcap 1,526 0%
#2517 borgbackup/tap/borgbackup-fuse 1,524 0%
#2518 threadweaver 1,523 0%
#2519 vice 1,522 0%
#2520 nest 1,521 0%
#2521 brightness 1,521 0%
#2522 fdroidserver 1,519 0%
#2523 linux-headers@5.15 1,519 0%
#2524 pyqt-builder 1,518 0%
#2525 hopenpgp-tools 1,517 0%
#2526 bullet 1,516 0%
#2527 xdotool 1,515 0%
#2528 verapdf 1,515 0%
#2529 orcasecurity/orca-cli/orca-cli 1,513 0%
#2530 ata 1,510 0%
#2531 owo-network/brew/deeplx 1,510 0%
#2532 jetty 1,509 0%
#2533 periphery 1,509 0%
#2534 bcrypt 1,509 0%
#2535 liblinear 1,509 0%
#2536 vmware-tanzu/carvel/ytt 1,507 0%
#2537 rio 1,506 0%
#2538 ddcutil 1,506 0%
#2539 allegro 1,506 0%
#2540 gdrive 1,504 0%
#2541 dnstwist 1,504 0%
#2542 pipes-sh 1,504 0%
#2543 igv 1,503 0%
#2544 pgpdump 1,501 0%
#2545 imgdiet 1,501 0%
#2546 fastnetmon 1,499 0%
#2547 terraform-local 1,497 0%
#2548 mpc 1,496 0%
#2549 libgit2-glib 1,495 0%
#2550 grace 1,495 0%
#2551 gjs 1,492 0%
#2552 moto 1,492 0%
#2553 robscott/tap/kube-capacity 1,490 0%
#2554 kor 1,489 0%
#2555 loki 1,487 0%
#2556 easy-tag 1,484 0%
#2557 pianobar 1,483 0%
#2558 navidrome 1,483 0%
#2559 pint 1,483 0%
#2560 libhandy 1,481 0%
#2561 evcc-io/tap/evcc 1,481 0%
#2562 scummvm 1,479 0%
#2563 gulp-cli 1,479 0%
#2564 lanraragi 1,477 0%
#2565 singular 1,477 0%
#2566 woff2 1,476 0%
#2567 ctlptl 1,476 0%
#2568 bandcamp-dl 1,475 0%
#2569 knock 1,474 0%
#2570 dstack 1,474 0%
#2571 git-branchless 1,473 0%
#2572 bbot 1,473 0%
#2573 dzr 1,472 0%
#2574 forgit 1,472 0%
#2575 diesel 1,472 0%
#2576 libvterm 1,471 0%
#2577 azure/functions/azure-functions-core-tools@3 1,471 0%
#2578 nextfire/tap/apple-music-discord-rpc 1,470 0%
#2579 nspr 1,470 0%
#2580 silicon 1,469 0%
#2581 glade 1,469 0%
#2582 gollama 1,468 0%
#2583 ssh-audit 1,466 0%
#2584 defenseunicorns/tap/uds@0.10.3 1,466 0%
#2585 sqitchers/sqitch/sqitch 1,465 0%
#2586 bower 1,465 0%
#2587 qwt-qt5 1,465 0%
#2588 solargraph 1,463 0%
#2589 offlineimap 1,463 0%
#2590 gatsby-cli 1,461 0%
#2591 zsh-git-prompt 1,461 0%
#2592 questdb 1,459 0%
#2593 qjackctl 1,459 0%
#2594 dotenvx/brew/dotenvx 1,456 0%
#2595 megatools 1,455 0%
#2596 neovim-remote 1,454 0%
#2597 cpufetch 1,451 0%
#2598 itk 1,451 0%
#2599 libvirt-glib 1,451 0%
#2600 nebula 1,450 0%
#2601 k3sup 1,450 0%
#2602 vulkan-extensionlayer 1,449 0%
#2603 lv 1,446 0%
#2604 python-gdbm@3.12 1,444 0%
#2605 powershell/tap/powershell-preview 1,441 0%
#2606 fail2ban 1,440 0%
#2607 tinymist 1,440 0%
#2608 bandit 1,440 0%
#2609 opencc 1,436 0%
#2610 cppunit 1,436 0%
#2611 vnstat 1,435 0%
#2612 rrdtool 1,435 0%
#2613 gnupg@1.4 1,435 0%
#2614 yapf 1,434 0%
#2615 libgda 1,433 0%
#2616 file-roller 1,432 0%
#2617 enex2notion 1,432 0%
#2618 sourcegraph/src-cli/src-cli 1,428 0%
#2619 git-credential-libsecret 1,427 0%
#2620 progress 1,427 0%
#2621 influxdb@1 1,426 0%
#2622 tcpreplay 1,422 0%
#2623 glasskube/tap/glasskube 1,422 0%
#2624 minipro 1,421 0%
#2625 samtay/tui/tetris 1,421 0%
#2626 slackdump 1,420 0%
#2627 notation 1,417 0%
#2628 zsh-vi-mode 1,415 0%
#2629 kumactl 1,415 0%
#2630 libxrandr 1,414 0%
#2631 sdl2_gfx 1,414 0%
#2632 mmseqs2 1,411 0%
#2633 libiodbc 1,411 0%
#2634 clang-build-analyzer 1,410 0%
#2635 okta-awscli 1,409 0%
#2636 fabric 1,408 0%
#2637 stress 1,407 0%
#2638 sftpgo 1,404 0%
#2639 pianod 1,404 0%
#2640 gtkmm 1,404 0%
#2641 juju 1,402 0%
#2642 libimobiledevice-glue 1,401 0%
#2643 wiremock-standalone 1,400 0%
#2644 i2pd 1,398 0%
#2645 dissent 1,395 0%
#2646 latexdiff 1,394 0%
#2647 gpsbabel 1,393 0%
#2648 console_bridge 1,393 0%
#2649 djvu2pdf 1,391 0%
#2650 metals 1,390 0%
#2651 gtksourceview5 1,389 0%
#2652 frontasticgmbh/tap/frontastic-cli-pre 1,388 0%
#2653 fn 1,388 0%
#2654 podman-tui 1,387 0%
#2655 defenseunicorns/tap/uds@0.13.1 1,384 0%
#2656 saucelabs/saucectl/saucectl 1,384 0%
#2657 git-interactive-rebase-tool 1,379 0%
#2658 vulkan-validationlayers 1,378 0%
#2659 click 1,375 0%
#2660 c3c 1,371 0%
#2661 boost@1.76 1,370 0%
#2662 wdiff 1,370 0%
#2663 ncview 1,367 0%
#2664 avro-c 1,366 0%
#2665 lmod 1,365 0%
#2666 typstyle 1,365 0%
#2667 monero 1,364 0%
#2668 sdcc 1,363 0%
#2669 iredis 1,361 0%
#2670 unibilium 1,360 0%
#2671 etcd-cpp-apiv3 1,359 0%
#2672 clusterawsadm 1,358 0%
#2673 fortls 1,358 0%
#2674 gpa 1,358 0%
#2675 beancount 1,357 0%
#2676 hexo 1,356 0%
#2677 storj-uplink 1,355 0%
#2678 corsixth 1,354 0%
#2679 autopsy 1,353 0%
#2680 ibazel 1,351 0%
#2681 seqkit 1,351 0%
#2682 logdy 1,351 0%
#2683 opencolorio 1,350 0%
#2684 metview 1,348 0%
#2685 git-sizer 1,346 0%
#2686 tinyxml 1,346 0%
#2687 cataclysm 1,345 0%
#2688 ddns-go 1,344 0%
#2689 goctl 1,344 0%
#2690 tuist/tuist/tuist@4.36.0 1,343 0%
#2691 nload 1,343 0%
#2692 cling 1,342 0%
#2693 stefanprodan/tap/timoni 1,340 0%
#2694 pgweb 1,337 0%
#2695 inframap 1,337 0%
#2696 gifify 1,337 0%
#2697 reviewdog/tap/reviewdog 1,336 0%
#2698 ydiff 1,334 0%
#2699 osrf/simulation/ignition-fuel-tools4 1,334 0%
#2700 sile 1,333 0%
#2701 eigenpy 1,333 0%
#2702 x86_64-elf-gdb 1,332 0%
#2703 i2p 1,332 0%
#2704 mawk 1,332 0%
#2705 datalad 1,331 0%
#2706 spotbugs 1,330 0%
#2707 libnotify 1,330 0%
#2708 pdfsandwich 1,329 0%
#2709 dwarfutils 1,329 0%
#2710 csshx 1,328 0%
#2711 psalm 1,328 0%
#2712 powershell/tap/powershell-lts 1,327 0%
#2713 gnome-recipes 1,325 0%
#2714 pup 1,324 0%
#2715 vue-cli 1,323 0%
#2716 dafny 1,323 0%
#2717 apt-dater 1,322 0%
#2718 crosstool-ng 1,322 0%
#2719 wader/tap/fq 1,321 0%
#2720 dspdfviewer 1,321 0%
#2721 autotrace 1,318 0%
#2722 kerl 1,318 0%
#2723 deviceinsight/packages/kafkactl 1,318 0%
#2724 torsocks 1,317 0%
#2725 copilot 1,317 0%
#2726 latexml 1,316 0%
#2727 crunchy-cli 1,314 0%
#2728 hicolor-icon-theme 1,314 0%
#2729 fragment-dev/tap/fragment-cli 1,314 0%
#2730 pyvim 1,314 0%
#2731 rapidjson 1,314 0%
#2732 janet 1,312 0%
#2733 defenseunicorns/tap/uds@0.20.0 1,311 0%
#2734 haxe 1,311 0%
#2735 bacon 1,308 0%
#2736 gradle-profiler 1,308 0%
#2737 pssh 1,305 0%
#2738 kn 1,305 0%
#2739 foremost 1,303 0%
#2740 libjwt 1,301 0%
#2741 gnu-typist 1,298 0%
#2742 dylibbundler 1,297 0%
#2743 youtubedr 1,296 0%
#2744 saxon 1,296 0%
#2745 datawire/blackbird/telepresence-arm64 1,294 0%
#2746 tomcat-native 1,294 0%
#2747 agg 1,293 0%
#2748 osslsigncode 1,293 0%
#2749 yajl 1,292 0%
#2750 tkdiff 1,292 0%
#2751 gpredict 1,290 0%
#2752 nais/tap/nais 1,290 0%
#2753 lsix 1,289 0%
#2754 libpeas@1 1,289 0%
#2755 xmrig 1,289 0%
#2756 wtfutil 1,288 0%
#2757 moc 1,286 0%
#2758 vmware-tanzu/tanzu/tanzu-cli 1,285 0%
#2759 govulncheck 1,285 0%
#2760 nanorc 1,284 0%
#2761 spaluchiewicz/swiftymocky/swiftymocky 1,284 0%
#2762 clip 1,282 0%
#2763 libpthread-stubs 1,279 0%
#2764 joern 1,278 0%
#2765 elan-init 1,276 0%
#2766 powerman 1,276 0%
#2767 epubcheck 1,276 0%
#2768 defenseunicorns/tap/uds@0.11.2 1,275 0%
#2769 wasm-pack 1,274 0%
#2770 xfig 1,274 0%
#2771 choose-rust 1,273 0%
#2772 mesa-glu 1,272 0%
#2773 osrf/simulation/ignition-msgs5 1,272 0%
#2774 pugixml 1,272 0%
#2775 criterion 1,272 0%
#2776 obsproject/tools/gersemi 1,271 0%
#2777 lldpd 1,271 0%
#2778 fselect 1,270 0%
#2779 ppsspp 1,270 0%
#2780 telegram-downloader 1,270 0%
#2781 gromgit/fuse/s3fs-mac 1,269 0%
#2782 u-boot-tools 1,269 0%
#2783 nodeenv 1,269 0%
#2784 virtctl 1,268 0%
#2785 gron 1,268 0%
#2786 faac 1,267 0%
#2787 wrangler 1,264 0%
#2788 cpulimit 1,261 0%
#2789 rubyfmt 1,261 0%
#2790 gtkmm4 1,259 0%
#2791 armmbed/formulae/arm-none-eabi-gcc 1,259 0%
#2792 docbook 1,258 0%
#2793 emqx 1,256 0%
#2794 gcc@10 1,255 0%
#2795 ord 1,255 0%
#2796 docker-machine-driver-vmware 1,254 0%
#2797 libiscsi 1,254 0%
#2798 perltidy 1,254 0%
#2799 doppler 1,253 0%
#2800 gtranslator 1,252 0%
#2801 atlantis 1,251 0%
#2802 filebrowser/tap/filebrowser 1,247 0%
#2803 xapian 1,245 0%
#2804 vladkens/tap/macmon 1,244 0%
#2805 faust 1,243 0%
#2806 chatblade 1,243 0%
#2807 bochs 1,242 0%
#2808 aribb24 1,242 0%
#2809 remind 1,242 0%
#2810 minamijoyo/tfupdate/tfupdate 1,242 0%
#2811 gojq 1,240 0%
#2812 flint 1,237 0%
#2813 atkmm 1,236 0%
#2814 httping 1,235 0%
#2815 osrf/simulation/gz-harmonic 1,235 0%
#2816 scalapack 1,231 0%
#2817 pc6001vx 1,230 0%
#2818 render 1,230 0%
#2819 openrct2 1,229 0%
#2820 fairwindsops/tap/polaris 1,229 0%
#2821 spotifyd 1,228 0%
#2822 hiredis 1,228 0%
#2823 premake 1,228 0%
#2824 hwatch 1,227 0%
#2825 conda-lock 1,227 0%
#2826 theseal/ssh-askpass/ssh-askpass 1,227 0%
#2827 deployer 1,227 0%
#2828 libdmtx 1,225 0%
#2829 clisp 1,225 0%
#2830 libclc 1,223 0%
#2831 jupyter-r 1,223 0%
#2832 simdutf 1,222 0%
#2833 wgcf 1,222 0%
#2834 pan 1,220 0%
#2835 dash 1,219 0%
#2836 xgboost 1,219 0%
#2837 hashicorp/tap/hcp 1,218 0%
#2838 himalaya 1,214 0%
#2839 cli53 1,210 0%
#2840 util-macros 1,207 0%
#2841 openbao 1,207 0%
#2842 profanity 1,206 0%
#2843 libsamplerate 1,206 0%
#2844 shaderc 1,206 0%
#2845 llvm@13 1,205 0%
#2846 shadowenv 1,204 0%
#2847 glassfish 1,204 0%
#2848 tuist/tuist/tuist@4.23.0 1,203 0%
#2849 boost-mpi 1,201 0%
#2850 upbound/tap/up 1,201 0%
#2851 vcs 1,200 0%
#2852 icdiff 1,199 0%
#2853 jbangdev/tap/jbang 1,198 0%
#2854 helm/tap/chart-releaser 1,196 0%
#2855 qcli 1,192 0%
#2856 libmodbus 1,190 0%
#2857 probezy/core/cpolar 1,189 0%
#2858 castxml 1,189 0%
#2859 cri-tools 1,189 0%
#2860 riscv64-elf-gdb 1,185 0%
#2861 cscope 1,184 0%
#2862 coverallsapp/coveralls/coveralls 1,184 0%
#2863 orocos-kdl 1,183 0%
#2864 vmware-tanzu/pinniped/pinniped-cli 1,181 0%
#2865 convmv 1,180 0%
#2866 dmtx-utils 1,180 0%
#2867 pylyzer 1,179 0%
#2868 mit-scheme 1,178 0%
#2869 minizip-ng 1,177 0%
#2870 dune 1,176 0%
#2871 davix 1,176 0%
#2872 scip 1,176 0%
#2873 crystalline 1,175 0%
#2874 zimg 1,175 0%
#2875 libshumate 1,174 0%
#2876 erlang@25 1,174 0%
#2877 scorecard 1,173 0%
#2878 claws-mail 1,172 0%
#2879 httm 1,170 0%
#2880 csview 1,169 0%
#2881 picard-tools 1,169 0%
#2882 pdfcrack 1,166 0%
#2883 loc 1,165 0%
#2884 futhark 1,165 0%
#2885 libelf 1,164 0%
#2886 mako 1,161 0%
#2887 lizard-analyzer 1,161 0%
#2888 golines 1,160 0%
#2889 cyme 1,159 0%
#2890 osrf/simulation/ignition-transport8 1,159 0%
#2891 cairomm 1,157 0%
#2892 replicatedhq/replicated/cli 1,155 0%
#2893 libsass 1,154 0%
#2894 pueue 1,154 0%
#2895 pngcrush 1,154 0%
#2896 railwayapp/tap/nixpacks 1,154 0%
#2897 picotool 1,153 0%
#2898 easyrpg-player 1,152 0%
#2899 cruft 1,149 0%
#2900 swift-outdated 1,148 0%
#2901 dolphie 1,147 0%
#2902 rhash 1,146 0%
#2903 fsouza/prettierd/prettierd 1,144 0%
#2904 volatility 1,144 0%
#2905 blackfireio/blackfire/blackfire 1,143 0%
#2906 ignite 1,142 0%
#2907 dnsviz 1,142 0%
#2908 ory/tap/cli 1,141 0%
#2909 kubevpn 1,141 0%
#2910 wpscan 1,140 0%
#2911 berkeley-db@5 1,140 0%
#2912 crowdin/crowdin/crowdin@3 1,139 0%
#2913 tinyproxy 1,138 0%
#2914 ringohub/redis-cli/redis-cli 1,138 0%
#2915 spectral-cli 1,136 0%
#2916 apngasm 1,136 0%
#2917 patchelf 1,136 0%
#2918 adios2 1,134 0%
#2919 openfst 1,134 0%
#2920 libsql 1,133 0%
#2921 pg_cron 1,133 0%
#2922 noahgorstein/tap/jqp 1,133 0%
#2923 zinit 1,133 0%
#2924 nethack 1,131 0%
#2925 powerline-go 1,131 0%
#2926 libsoup@2 1,130 0%
#2927 jakewharton/repo/diffuse 1,130 0%
#2928 roswell 1,130 0%
#2929 unrtf 1,128 0%
#2930 dateutils 1,127 0%
#2931 linux-pam 1,127 0%
#2932 mkvtomp4 1,124 0%
#2933 swagger-codegen@2 1,123 0%
#2934 trzsz-ssh 1,123 0%
#2935 fzy 1,123 0%
#2936 kubectl-cnpg 1,123 0%
#2937 cloudflare-wrangler 1,123 0%
#2938 vdirsyncer 1,122 0%
#2939 qbs 1,122 0%
#2940 wifi-password 1,119 0%
#2941 hstr 1,118 0%
#2942 localtunnel 1,117 0%
#2943 bob 1,117 0%
#2944 chsrc 1,115 0%
#2945 zenith 1,114 0%
#2946 openfga/tap/fga 1,114 0%
#2947 sbom-tool 1,112 0%
#2948 vulkan-utility-libraries 1,111 0%
#2949 vgmstream 1,111 0%
#2950 nanopb 1,111 0%
#2951 widelands 1,109 0%
#2952 osmosis 1,109 0%
#2953 apache-opennlp 1,109 0%
#2954 archivebox/archivebox/archivebox 1,108 0%
#2955 abcmidi 1,107 0%
#2956 kurtosis-tech/tap/kurtosis-cli 1,107 0%
#2957 whalebrew 1,106 0%
#2958 tbls 1,105 0%
#2959 cbonsai 1,105 0%
#2960 nasa-sw-vnv/core/ikos 1,102 0%
#2961 localazy/tools/localazy 1,102 0%
#2962 amazon-ecs-cli 1,102 0%
#2963 libsigrok 1,098 0%
#2964 rpl 1,097 0%
#2965 sentencepiece 1,097 0%
#2966 tidbyt/tidbyt/pixlet 1,096 0%
#2967 liquidctl 1,096 0%
#2968 regclient 1,095 0%
#2969 grsync 1,094 0%
#2970 mods 1,093 0%
#2971 rgbds 1,093 0%
#2972 dict 1,093 0%
#2973 mystenlabs/tap/sui 1,093 0%
#2974 jbig2enc 1,092 0%
#2975 kaskade 1,092 0%
#2976 naabu 1,092 0%
#2977 tarantool 1,092 0%
#2978 spek 1,091 0%
#2979 cairomm@1.14 1,091 0%
#2980 eralchemy 1,091 0%
#2981 danvergara/tools/dblab 1,090 0%
#2982 morpheus 1,089 0%
#2983 mcap 1,089 0%
#2984 byacc 1,089 0%
#2985 xwmx/taps/nb 1,089 0%
#2986 hidetatz/tap/kubecolor 1,088 0%
#2987 pdf2image 1,088 0%
#2988 b3sum 1,087 0%
#2989 spirv-headers 1,086 0%
#2990 po4a 1,086 0%
#2991 orc-tools 1,083 0%
#2992 berkeley-db@4 1,082 0%
#2993 jump 1,082 0%
#2994 gammaray 1,082 0%
#2995 nbdime 1,081 0%
#2996 riscv-software-src/riscv/riscv-tools 1,081 0%
#2997 twitchdev/twitch/twitch-cli 1,081 0%
#2998 mlpack 1,081 0%
#2999 jdupes 1,080 0%
#3000 obsproject/tools/clang-format@16 1,080 0%
#3001 telepresenceio/telepresence/telepresence-oss 1,079 0%
#3002 theora 1,078 0%
#3003 vulkan-profiles 1,077 0%
#3004 lc0 1,076 0%
#3005 prestodb 1,076 0%
#3006 zegervdv/zathura/zathura-pdf-mupdf 1,074 0%
#3007 freeipmi 1,072 0%
#3008 nzbget 1,071 0%
#3009 adns 1,070 0%
#3010 macpine 1,069 0%
#3011 roots/tap/trellis-cli 1,069 0%
#3012 qwt 1,069 0%
#3013 bmon 1,068 0%
#3014 python-ply 1,067 0%
#3015 lua@5.3 1,067 0%
#3016 harlequin 1,067 0%
#3017 bbtools 1,065 0%
#3018 mailcatcher 1,065 0%
#3019 defenseunicorns/tap/uds@0.10.1 1,065 0%
#3020 argp-standalone 1,064 0%
#3021 ktfmt 1,062 0%
#3022 crunch 1,062 0%
#3023 shivammathur/php/php-debug 1,062 0%
#3024 subnetcalc 1,062 0%
#3025 chezscheme 1,060 0%
#3026 gtkglext 1,058 0%
#3027 riscv/riscv/riscv-tools 1,058 0%
#3028 tamarin-prover/tap/tamarin-prover 1,057 0%
#3029 baresip 1,057 0%
#3030 numbat 1,057 0%
#3031 ocaml-findlib 1,055 0%
#3032 vsce 1,055 0%
#3033 networkit 1,054 0%
#3034 commandbox 1,054 0%
#3035 miniserve 1,053 0%
#3036 iann0036/iamlive/iamlive 1,050 0%
#3037 openrtsp 1,049 0%
#3038 instead 1,047 0%
#3039 beanstalkd 1,045 0%
#3040 ngrep 1,045 0%
#3041 pgformatter 1,043 0%
#3042 ccat 1,043 0%
#3043 tuist/tuist/tuist@4.30.0 1,040 0%
#3044 libxcursor 1,040 0%
#3045 ascii 1,039 0%
#3046 clinfo 1,039 0%
#3047 luacheck 1,037 0%
#3048 gnu-units 1,037 0%
#3049 cargo-edit 1,036 0%
#3050 recode 1,036 0%
#3051 gabrie30/utils/ghorg 1,035 0%
#3052 minica 1,033 0%
#3053 synergy-core 1,030 0%
#3054 medusa 1,028 0%
#3055 nocodb/nocodb/nocodb 1,028 0%
#3056 python-launcher 1,028 0%
#3057 appwrite 1,027 0%
#3058 vulkan-volk 1,027 0%
#3059 watson 1,027 0%
#3060 mprocs 1,026 0%
#3061 yamale 1,026 0%
#3062 ejabberd 1,026 0%
#3063 zegervdv/zathura/girara 1,026 0%
#3064 c2048 1,026 0%
#3065 mkvdts2ac3 1,026 0%
#3066 cppman 1,025 0%
#3067 ansible-language-server 1,024 0%
#3068 nvc 1,024 0%
#3069 pari 1,024 0%
#3070 latex2html 1,023 0%
#3071 bfs 1,023 0%
#3072 rethinkdb 1,022 0%
#3073 gator 1,021 0%
#3074 ykpers 1,021 0%
#3075 tufin/tufin/oasdiff 1,021 0%
#3076 klee 1,020 0%
#3077 riscv64-elf-gcc 1,019 0%
#3078 equinix-labs/otel-cli/otel-cli 1,019 0%
#3079 macaulay2/tap/macaulay2 1,019 0%
#3080 ddcctl 1,019 0%
#3081 datafusion 1,018 0%
#3082 loft-sh/tap/vcluster 1,018 0%
#3083 alda 1,017 0%
#3084 aiven-client 1,017 0%
#3085 httpyac 1,017 0%
#3086 nut 1,015 0%
#3087 jakehilborn/jakehilborn/displayplacer 1,015 0%
#3088 sergiobenitez/osxct/x86_64-unknown-linux-gnu 1,015 0%
#3089 nuxeo 1,014 0%
#3090 speex 1,013 0%
#3091 qt-mysql 1,013 0%
#3092 ivy 1,009 0%
#3093 aspcud 1,009 0%
#3094 erlang@24 1,008 0%
#3095 ktr0731/evans/evans 1,008 0%
#3096 i386-elf-gdb 1,007 0%
#3097 gerbv 1,006 0%
#3098 libepoxy 1,006 0%
#3099 fpc 1,006 0%
#3100 metis 1,006 0%
#3101 psysh 1,006 0%
#3102 tracker 1,005 0%
#3103 wildfly-as 1,003 0%
#3104 homebrew/cask-versions/sonar-scanner@5.0.1.3006 1,003 0%
#3105 ddgr 1,003 0%
#3106 kubecfg 1,003 0%
#3107 qtkeychain 1,003 0%
#3108 depot/tap/depot 1,002 0%
#3109 pfetch 1,002 0%
#3110 bosh-cli 1,002 0%
#3111 wstunnel 1,000 0%
#3112 translate-toolkit 999 0%
#3113 langgraph-cli 998 0%
#3114 libva 998 0%
#3115 kubernetes-cli@1.30 997 0%
#3116 gupnp-tools 997 0%
#3117 ntfy 996 0%
#3118 bazel-diff 996 0%
#3119 libsql/sqld/sqld 994 0%
#3120 defenseunicorns/tap/uds@0.24.0 993 0%
#3121 dart-lang/dart/dart@3.4.1 992 0%
#3122 coin3d 991 0%
#3123 fermyon/tap/spin 991 0%
#3124 rbenv-gemset 990 0%
#3125 mediamtx 990 0%
#3126 vapoursynth-sub 989 0%
#3127 libsm 988 0%
#3128 tmux-mem-cpu-load 988 0%
#3129 coinutils 987 0%
#3130 needle 986 0%
#3131 sdl2_net 986 0%
#3132 mariadb@10.4 985 0%
#3133 graphene 983 0%
#3134 browsh-org/browsh/browsh 983 0%
#3135 dub 983 0%
#3136 tuist/tuist/tuist@4.6.0 982 0%
#3137 xtrans 981 0%
#3138 pcb2gcode 981 0%
#3139 presenterm 980 0%
#3140 xvid 980 0%
#3141 charmbracelet/tap/freeze 978 0%
#3142 secp256k1 978 0%
#3143 scalacenter/bloop/bloop 977 0%
#3144 ffmpeg2theora 976 0%
#3145 imagesnap 976 0%
#3146 ecflow-ui 976 0%
#3147 aviator-co/tap/av 975 0%
#3148 storm 974 0%
#3149 libspelling 973 0%
#3150 gnome-autoar 973 0%
#3151 rbw 973 0%
#3152 authzed/tap/spicedb 971 0%
#3153 bundler-completion 970 0%
#3154 brewsci/bio/coot 970 0%
#3155 aqbanking 969 0%
#3156 termshark 967 0%
#3157 achannarasappa/tap/ticker 966 0%
#3158 argyll-cms 966 0%
#3159 anycable-go 966 0%
#3160 goocanvas 966 0%
#3161 breezy 966 0%
#3162 cdogs-sdl 964 0%
#3163 tag 964 0%
#3164 gptline 962 0%
#3165 argocd-autopilot 962 0%
#3166 immich-cli 960 0%
#3167 podsync 960 0%
#3168 docker-ls 960 0%
#3169 gifcap 959 0%
#3170 pixz 959 0%
#3171 dita-ot 959 0%
#3172 ragel 957 0%
#3173 azion 957 0%
#3174 cargo-instruments 957 0%
#3175 open-scene-graph 957 0%
#3176 opus-tools 956 0%
#3177 sdl3 956 0%
#3178 kew 955 0%
#3179 kabel/php-ext/php-imap 954 0%
#3180 pypy3.9 953 0%
#3181 osm-gps-map 953 0%
#3182 mikutter 952 0%
#3183 flix 952 0%
#3184 xdg-ninja 952 0%
#3185 tcsh 951 0%
#3186 bore-cli 951 0%
#3187 mr 951 0%
#3188 pdns 950 0%
#3189 arm-none-eabi-binutils 950 0%
#3190 csvtk 949 0%
#3191 elfutils 948 0%
#3192 ouch 948 0%
#3193 riff 948 0%
#3194 kismetwireless/kismet/kismet-git 947 0%
#3195 feedgnuplot 946 0%
#3196 quilt 946 0%
#3197 trzsz 946 0%
#3198 shairport-sync 946 0%
#3199 aws-rotate-key 946 0%
#3200 gptscript-ai/tap/gptscript 945 0%
#3201 protoc-gen-js 945 0%
#3202 ispc 945 0%
#3203 gtksourceviewmm3 945 0%
#3204 trurl 942 0%
#3205 fend 942 0%
#3206 lxi-tools 940 0%
#3207 llgo 940 0%
#3208 libnsl 937 0%
#3209 t-rec 935 0%
#3210 pngcheck 934 0%
#3211 dbml-cli 934 0%
#3212 jreleaser 932 0%
#3213 cirruslabs/cli/cirrus 932 0%
#3214 opensearch-dashboards 932 0%
#3215 pmtiles 931 0%
#3216 pinocchio 930 0%
#3217 zabbix-cli 930 0%
#3218 rmlint 930 0%
#3219 kdash-rs/kdash/kdash 927 0%
#3220 geocode-glib 926 0%
#3221 openkim-models 926 0%
#3222 clarinet 925 0%
#3223 kics 925 0%
#3224 libgit2@1.6 925 0%
#3225 todo-txt 925 0%
#3226 anchordotdev/tap/anchor 924 0%
#3227 macmon 924 0%
#3228 gptfdisk 924 0%
#3229 mbedtls@2 924 0%
#3230 screenpipe 924 0%
#3231 nfpm 924 0%
#3232 microsoft/mssql-release/mssql-tools@14.0.6.0 923 0%
#3233 qt-postgresql 923 0%
#3234 druid 923 0%
#3235 gost 922 0%
#3236 apkleaks 922 0%
#3237 dmd 922 0%
#3238 git-duet/tap/git-duet 922 0%
#3239 gdcm 921 0%
#3240 djvulibre 921 0%
#3241 rattler-build 920 0%
#3242 dashlane/tap/dashlane-cli 920 0%
#3243 arttor/tap/helmify 920 0%
#3244 simple-scan 919 0%
#3245 dynatrace/tools/dtswiftinstrumentor 918 0%
#3246 kubevela 918 0%
#3247 makeself 918 0%
#3248 defenseunicorns/tap/uds@0.6.1 918 0%
#3249 docker-squash 918 0%
#3250 gptscript 917 0%
#3251 pnpm@9 917 0%
#3252 rilldata/tap/rill 917 0%
#3253 libspectre 917 0%
#3254 supertux 917 0%
#3255 jena 916 0%
#3256 fairwindsops/tap/nova 916 0%
#3257 diceware 914 0%
#3258 most 913 0%
#3259 gnome-latex 913 0%
#3260 mkdocs-material 913 0%
#3261 gegl 913 0%
#3262 fnt 912 0%
#3263 fwup 912 0%
#3264 earthly/earthly/earthly 911 0%
#3265 eye-d3 911 0%
#3266 opencoarrays 911 0%
#3267 klavaro 911 0%
#3268 webtorrent-cli 910 0%
#3269 licensed 910 0%
#3270 libaec 909 0%
#3271 dovecot 908 0%
#3272 ola 908 0%
#3273 boost@1.85 907 0%
#3274 virtualpg 906 0%
#3275 codecov-cli 906 0%
#3276 httpstat 906 0%
#3277 lighthouse 905 0%
#3278 kallisto 904 0%
#3279 gmailctl 904 0%
#3280 osi 902 0%
#3281 mafft 902 0%
#3282 lgogdownloader 902 0%
#3283 keidarcy/tap/e1s 902 0%
#3284 west 901 0%
#3285 exif 900 0%
#3286 mist-cli 900 0%
#3287 hysteria 899 0%
#3288 manticoresearch 899 0%
#3289 kubetail 899 0%
#3290 chiselstrike/tap/turso 898 0%
#3291 udunits 898 0%
#3292 credstash 898 0%
#3293 gtkspell3 898 0%
#3294 k1low/tap/runn 897 0%
#3295 cloudflare/cloudflare/cloudflared 897 0%
#3296 yqrashawn/goku/goku 895 0%
#3297 mrbayes 895 0%
#3298 aws-auth 894 0%
#3299 libxc 893 0%
#3300 frotz 892 0%
#3301 dcraw 890 0%
#3302 osc 889 0%
#3303 pgbouncer 888 0%
#3304 gkrellm 887 0%
#3305 minder 887 0%
#3306 tuist/tuist/tuist@4.9.0 885 0%
#3307 elvish 885 0%
#3308 evtx 884 0%
#3309 flang 883 0%
#3310 boxes 883 0%
#3311 aws-es-proxy 882 0%
#3312 gnu-apl 882 0%
#3313 quazip 882 0%
#3314 airshare 882 0%
#3315 julien-cpsn/atac/atac 881 0%
#3316 jags 878 0%
#3317 plplot 878 0%
#3318 faad2 877 0%
#3319 lando-cli 877 0%
#3320 civo/tools/civo 876 0%
#3321 dyld-headers 876 0%
#3322 lyft/formulae/set-simulator-location 876 0%
#3323 ipv6calc 875 0%
#3324 halide 875 0%
#3325 onedrive 875 0%
#3326 tuist/tuist/tuist@4.28.1 874 0%
#3327 yaz 873 0%
#3328 simutrans 873 0%
#3329 checkdmarc 873 0%
#3330 whisperkit-cli 872 0%
#3331 codefresh-io/cli/codefresh 872 0%
#3332 wayland-protocols 872 0%
#3333 sylpheed 871 0%
#3334 modules 870 0%
#3335 appstream-glib 869 0%
#3336 mongoose 869 0%
#3337 aha 869 0%
#3338 unxip 868 0%
#3339 ifstat 868 0%
#3340 fuseki 867 0%
#3341 c2rust 867 0%
#3342 minizinc 867 0%
#3343 libcouchbase 867 0%
#3344 cppzmq 866 0%
#3345 posting 866 0%
#3346 preternaturalai/preternatural/preternatural 865 0%
#3347 osrf/simulation/ignition-common3 865 0%
#3348 phpmd 865 0%
#3349 davmail 864 0%
#3350 go-parquet-tools 864 0%
#3351 podofo 863 0%
#3352 nudoku 863 0%
#3353 nagios 863 0%
#3354 a2ps 863 0%
#3355 libunibreak 862 0%
#3356 stgit 861 0%
#3357 rizin 861 0%
#3358 eiffelstudio 860 0%
#3359 jsonschema2pojo 860 0%
#3360 limesuite 859 0%
#3361 openvdb 857 0%
#3362 c7n 857 0%
#3363 d99kris/nchat/nchat 856 0%
#3364 noborus/tap/ov 856 0%
#3365 aserto-dev/tap/topaz 855 0%
#3366 osm-pbf 855 0%
#3367 pip-audit 855 0%
#3368 gensio 854 0%
#3369 release-it 853 0%
#3370 cantino/mcfly/mcfly 853 0%
#3371 xsane 852 0%
#3372 compiledb 852 0%
#3373 ansible@10 851 0%
#3374 nu 850 0%
#3375 rm-improved 849 0%
#3376 organize-tool 849 0%
#3377 libpanel 848 0%
#3378 qrtool 848 0%
#3379 drone-cli 848 0%
#3380 dsda-doom 848 0%
#3381 riemann-client 846 0%
#3382 mvt 845 0%
#3383 spaceman-diff 845 0%
#3384 shopify/shopify/ejson 844 0%
#3385 center-im 844 0%
#3386 approov/cli/approov 844 0%
#3387 recc 844 0%
#3388 qlik-oss/taps/qlik-cli 844 0%
#3389 katana 843 0%
#3390 abyss 842 0%
#3391 tabiew 841 0%
#3392 genact 841 0%
#3393 enigma 840 0%
#3394 glasskube 840 0%
#3395 libxml++ 839 0%
#3396 libdazzle 838 0%
#3397 px4/px4/px4-dev 838 0%
#3398 seaweedfs 837 0%
#3399 carla 837 0%
#3400 msc-generator 836 0%
#3401 tccutil 836 0%
#3402 libgedit-gfls 836 0%
#3403 genometools 836 0%
#3404 apache-pulsar 836 0%
#3405 kwok 835 0%
#3406 brogue 835 0%
#3407 gucharmap 834 0%
#3408 sad 833 0%
#3409 opencl-headers 833 0%
#3410 meta-package-manager 833 0%
#3411 codequery 832 0%
#3412 innotop 832 0%
#3413 dockerfile-language-server 831 0%
#3414 veeso/termscp/termscp 831 0%
#3415 libnet 831 0%
#3416 gupnp 830 0%
#3417 nikolaeu/numi/numi-cli 830 0%
#3418 apprise 829 0%
#3419 friendsofshopware/tap/shopware-cli 828 0%
#3420 xauth 828 0%
#3421 libxpm 828 0%
#3422 openh264 828 0%
#3423 gdl 828 0%
#3424 cpi 828 0%
#3425 libre 827 0%
#3426 cargo-outdated 827 0%
#3427 knot-resolver 827 0%
#3428 charmcraft 827 0%
#3429 fcl 827 0%
#3430 mdk 826 0%
#3431 logstalgia 826 0%
#3432 libvidstab 826 0%
#3433 sentry-cli 826 0%
#3434 leela-zero 826 0%
#3435 porter-dev/porter/porter 825 0%
#3436 clang-uml 825 0%
#3437 smithy-lang/tap/smithy-cli 824 0%
#3438 jorgerojas26/lazysql/lazysql 824 0%
#3439 chocolate-doom 823 0%
#3440 neko 823 0%
#3441 hapi-fhir-cli 823 0%
#3442 pastel 822 0%
#3443 darcs 822 0%
#3444 clifm 821 0%
#3445 asciidoctorj 821 0%
#3446 gpp 821 0%
#3447 showkey 821 0%
#3448 efm-langserver 820 0%
#3449 shc 820 0%
#3450 shivammathur/extensions/redis@8.3 820 0%
#3451 recon-ng 818 0%
#3452 pocl 818 0%
#3453 blockhash 818 0%
#3454 pass-otp 817 0%
#3455 coturn 817 0%
#3456 jbig2dec 817 0%
#3457 bluetoothconnector 817 0%
#3458 libzdb 816 0%
#3459 flit 816 0%
#3460 spring-cli-projects/spring-cli/spring-cli 814 0%
#3461 kiota 814 0%
#3462 pgsync 814 0%
#3463 libpst 814 0%
#3464 dfu-programmer 812 0%
#3465 dalfox 812 0%
#3466 ponyc 812 0%
#3467 kamel 811 0%
#3468 frps 811 0%
#3469 nats-io/nats-tools/nsc 811 0%
#3470 jameswoolfenden/tap/pike 811 0%
#3471 openfpgaloader 810 0%
#3472 sloc 810 0%
#3473 qt-unixodbc 810 0%
#3474 cfr-decompiler 809 0%
#3475 superlu 809 0%
#3476 grex 807 0%
#3477 overarch 806 0%
#3478 kubefirst 806 0%
#3479 olets/tap/zsh-abbr 805 0%
#3480 egctl 805 0%
#3481 cpp-httplib 804 0%
#3482 mujs 804 0%
#3483 noir 804 0%
#3484 git-chglog/git-chglog/git-chglog 803 0%
#3485 roadrunner 802 0%
#3486 blake3 802 0%
#3487 bee 801 0%
#3488 gtk-gnutella 801 0%
#3489 gexiv2 801 0%
#3490 wasmcloud/wasmcloud/wash 800 0%
#3491 imthaghost/goclone/goclone 800 0%
#3492 stp 800 0%
#3493 boz/repo/kail 799 0%
#3494 convox 798 0%
#3495 packer-completion 798 0%
#3496 libsoxr 797 0%
#3497 dxpy 797 0%
#3498 percona-server@8.0 797 0%
#3499 jenkins-infra/tap/jenkins-contribution-extractor 797 0%
#3500 rhino 796 0%
#3501 soapysdr 796 0%
#3502 wasmedge 796 0%
#3503 qt-mariadb 796 0%
#3504 dotslash 796 0%
#3505 libgweather 796 0%
#3506 timewarrior 795 0%
#3507 drogon 795 0%
#3508 duc 795 0%
#3509 flash 795 0%
#3510 simdjson 795 0%
#3511 bilix 795 0%
#3512 vektra/tap/mockery 795 0%
#3513 fobis 794 0%
#3514 amber 794 0%
#3515 rangaofei/saka/sokit 794 0%
#3516 youplot 793 0%
#3517 cloudfoundry/tap/cf-cli 793 0%
#3518 moarvm 793 0%
#3519 runreveal/runreveal/runreveal 793 0%
#3520 coredns 793 0%
#3521 litani 792 0%
#3522 shopify/shopify/toxiproxy 792 0%
#3523 phantomato/patched/xcodes 792 0%
#3524 clazy 791 0%
#3525 axllent/apps/mailpit 790 0%
#3526 kin 789 0%
#3527 git-octopus 789 0%
#3528 toxiproxy 788 0%
#3529 prettyping 787 0%
#3530 gtkdatabox 787 0%
#3531 sidaf/pentest/dirb 787 0%
#3532 reprepro 787 0%
#3533 daipeihust/tap/im-select 787 0%
#3534 mentat 786 0%
#3535 g-ls 786 0%
#3536 proguard 785 0%
#3537 parsedmarc 785 0%
#3538 cargo-make 785 0%
#3539 chrony 784 0%
#3540 python-chardet 784 0%
#3541 iamy 783 0%
#3542 xxh 783 0%
#3543 code-minimap 783 0%
#3544 cbmc 783 0%
#3545 distrobox 782 0%
#3546 python-pytz 782 0%
#3547 sqlx-cli 781 0%
#3548 ttfautohint 781 0%
#3549 msyfls123/repo/rpm 781 0%
#3550 aspectj 780 0%
#3551 minimap2 780 0%
#3552 cntlm 779 0%
#3553 circumflex 779 0%
#3554 pidcat 778 0%
#3555 hamlib 778 0%
#3556 gitup 778 0%
#3557 packetry 777 0%
#3558 nats-streaming-server 777 0%
#3559 libdnet 777 0%
#3560 mihomo 776 0%
#3561 osrf/simulation/gz-tools2 776 0%
#3562 spack 775 0%
#3563 libical 775 0%
#3564 dog 775 0%
#3565 mapproxy 774 0%
#3566 ldid-procursus 774 0%
#3567 aws2-wrap 774 0%
#3568 makepkg 774 0%
#3569 ortp 773 0%
#3570 clp 772 0%
#3571 chuck 772 0%
#3572 vapoursynth-imwri 772 0%
#3573 cryptopp 771 0%
#3574 docker-gen 771 0%
#3575 akeylesslabs/tap/akeyless 771 0%
#3576 autobrr 771 0%
#3577 corkscrew 770 0%
#3578 jenkins-job-builder 770 0%
#3579 gabedit 770 0%
#3580 int128/kubelogin/oidc-kubelogin 770 0%
#3581 closure-compiler 770 0%
#3582 vmware-tanzu/carvel/kapp 769 0%
#3583 qt-libiodbc 769 0%
#3584 evernote-backup 769 0%
#3585 dnsperf 769 0%
#3586 sail 769 0%
#3587 libxlsxwriter 768 0%
#3588 observerward 768 0%
#3589 py-spy 767 0%
#3590 id3v2 767 0%
#3591 pig 767 0%
#3592 jenkins-infra/tap/jenkins-contribution-aggregator 766 0%
#3593 hangxie/tap/go-parquet-tools 766 0%
#3594 libvncserver 765 0%
#3595 homebrew/portable-ruby/portable-openssl 765 0%
#3596 solc-select 765 0%
#3597 kubeaudit 764 0%
#3598 trezor-agent 764 0%
#3599 apache-drill 763 0%
#3600 easyengine 762 0%
#3601 libgdata 762 0%
#3602 monit 762 0%
#3603 s-search 762 0%
#3604 lemon 761 0%
#3605 libatomic_ops 761 0%
#3606 lpeg 761 0%
#3607 ddclient 760 0%
#3608 khal 759 0%
#3609 aliyunpan 759 0%
#3610 http-prompt 758 0%
#3611 knative-extensions/kn-plugins/func 757 0%
#3612 osinfo-db-tools 756 0%
#3613 qt-percona-server 756 0%
#3614 dooit 756 0%
#3615 render-oss/render/render 756 0%
#3616 fantom 755 0%
#3617 m-cli 755 0%
#3618 pcb 754 0%
#3619 quantlib 754 0%
#3620 xinit 753 0%
#3621 cyctl 753 0%
#3622 librasterlite2 753 0%
#3623 vbindiff 752 0%
#3624 sf 752 0%
#3625 derby 752 0%
#3626 abcl 751 0%
#3627 sngrep 751 0%
#3628 joe 750 0%
#3629 pgbadger 749 0%
#3630 libgee 749 0%
#3631 pdf-diff 749 0%
#3632 poac 748 0%
#3633 cargo-zigbuild 748 0%
#3634 pdnsrec 748 0%
#3635 payload-dumper-go 748 0%
#3636 cloudformation-guard 748 0%
#3637 instalooter 747 0%
#3638 tt 747 0%
#3639 symengine 747 0%
#3640 lindell/multi-gitter/multi-gitter 746 0%
#3641 cargo-release 746 0%
#3642 metricbeat 746 0%
#3643 heimdal 746 0%
#3644 arcade-learning-environment 745 0%
#3645 cp2k 745 0%
#3646 px 745 0%
#3647 phive 745 0%
#3648 tuist/tuist/tuist@4.26.0 745 0%
#3649 kosli-cli 745 0%
#3650 proftpd 744 0%
#3651 rkhunter 744 0%
#3652 mozjpeg 744 0%
#3653 ionos-cloud/ionos-cloud/ionosctl 743 0%
#3654 scm-manager 743 0%
#3655 idris2 743 0%
#3656 cyclonedx-python 743 0%
#3657 lowdown 742 0%
#3658 ant-contrib 742 0%
#3659 jsdoc3 742 0%
#3660 enca 741 0%
#3661 cfn-format 740 0%
#3662 ocamlbuild 740 0%
#3663 ekristen/tap/aws-nuke 739 0%
#3664 editorconfig-checker 739 0%
#3665 google-authenticator-libpam 739 0%
#3666 sqldef/sqldef/mysqldef 739 0%
#3667 varnish 739 0%
#3668 tracy 738 0%
#3669 pdftohtml 738 0%
#3670 batt 738 0%
#3671 apgdiff 738 0%
#3672 v8@3.15 737 0%
#3673 freedink 737 0%
#3674 ffsend 737 0%
#3675 libfaketime 737 0%
#3676 czg 737 0%
#3677 openttd 736 0%
#3678 mondoohq/mondoo/cnspec 736 0%
#3679 libxfixes 736 0%
#3680 pandoc-plot 735 0%
#3681 fennel 735 0%
#3682 pulsarctl 735 0%
#3683 votca 734 0%
#3684 chardet 734 0%
#3685 di 734 0%
#3686 wavpack 734 0%
#3687 tmux-xpanes 733 0%
#3688 openfga 733 0%
#3689 runpod/runpodctl/runpodctl 733 0%
#3690 argc 732 0%
#3691 mago 732 0%
#3692 ethereum/ethereum/solidity 732 0%
#3693 git-big-picture 732 0%
#3694 sigrok-cli 732 0%
#3695 hmmer 731 0%
#3696 orientdb 731 0%
#3697 k2tf 730 0%
#3698 docfx 730 0%
#3699 tdewolff/tap/minify 729 0%
#3700 hyperledger/besu/besu 729 0%
#3701 woob 728 0%
#3702 riscv-software-src/riscv/riscv-gnu-toolchain 728 0%
#3703 nextdns 727 0%
#3704 lcm 726 0%
#3705 imap-uw 726 0%
#3706 gotestsum 725 0%
#3707 hive 725 0%
#3708 hussaan-s/formulae/xcresultparser 725 0%
#3709 gearman 725 0%
#3710 reaver 725 0%
#3711 xdelta 724 0%
#3712 clojurescript 723 0%
#3713 tsung 723 0%
#3714 allureofthestars 723 0%
#3715 net-tools 723 0%
#3716 knative-extensions/kn-plugins/quickstart 723 0%
#3717 stellar-cli 723 0%
#3718 spice-protocol 722 0%
#3719 sqlpage 722 0%
#3720 serve 721 0%
#3721 totp-cli 721 0%
#3722 dbus-glib 721 0%
#3723 tcpflow 721 0%
#3724 sigstore 720 0%
#3725 dral3x/dral3x/stringslint 720 0%
#3726 sha2 720 0%
#3727 gnirehtet 720 0%
#3728 dnsdist 720 0%
#3729 pushpin 719 0%
#3730 alot 719 0%
#3731 papilo 718 0%
#3732 autocorrect 718 0%
#3733 falco 718 0%
#3734 cmake-language-server 718 0%
#3735 jsign 717 0%
#3736 spades 717 0%
#3737 jabba 716 0%
#3738 libjson-rpc-cpp 716 0%
#3739 carvel-dev/carvel/kapp 716 0%
#3740 gardener/tap/gardenctl-v2 714 0%
#3741 sassc 714 0%
#3742 vmware-tanzu/carvel/imgpkg 714 0%
#3743 batik 713 0%
#3744 dufs 713 0%
#3745 mqttui 712 0%
#3746 libfreenect 712 0%
#3747 arrayfire 712 0%
#3748 python-psutil 712 0%
#3749 gnunet 711 0%
#3750 briss 711 0%
#3751 wmctrl 711 0%
#3752 cargo-generate 710 0%
#3753 simgrid 710 0%
#3754 texi2html 710 0%
#3755 rdfind 709 0%
#3756 polynote 709 0%
#3757 iniparser 709 0%
#3758 truffle 709 0%
#3759 enscript 708 0%
#3760 victoriametrics 708 0%
#3761 mongo-cxx-driver 708 0%
#3762 bnd 707 0%
#3763 carrot2 707 0%
#3764 tfk8s 707 0%
#3765 openj9 707 0%
#3766 alpscore 707 0%
#3767 html-xml-utils 706 0%
#3768 pandemics 705 0%
#3769 lasso 705 0%
#3770 cortexso 705 0%
#3771 ompl 705 0%
#3772 dwarfs 704 0%
#3773 pythran 704 0%
#3774 jsonpp 703 0%
#3775 aws/tap/ec2-instance-selector 703 0%
#3776 cloudfoundry/tap/credhub-cli 703 0%
#3777 vunnel 702 0%
#3778 timidity 701 0%
#3779 sass/sass/migrator 700 0%
#3780 wtfis 699 0%
#3781 mongodb/brew/libmongocrypt 699 0%
#3782 lla 698 0%
#3783 detox 698 0%
#3784 tofuenv 698 0%
#3785 znc 696 0%
#3786 git-workspace 696 0%
#3787 terminalimageviewer 696 0%
#3788 memtester 696 0%
#3789 python-gdbm@3.13 696 0%
#3790 utkuozdemir/pv-migrate/pv-migrate 695 0%
#3791 osrf/simulation/gz-common5 695 0%
#3792 gitoxide 695 0%
#3793 avro-cpp 695 0%
#3794 erlang_ls 694 0%
#3795 virustotal-cli 693 0%
#3796 ckan 693 0%
#3797 shivammathur/extensions/imap@8.3 693 0%
#3798 xurls 692 0%
#3799 nyancat 692 0%
#3800 kubetui 691 0%
#3801 convco 691 0%
#3802 osx-cross/avr/avr-gcc@8 691 0%
#3803 vala-language-server 691 0%
#3804 boot-clj 690 0%
#3805 cockroachdb/tap/cockroach-sql 690 0%
#3806 nqp 690 0%
#3807 xcb-proto 690 0%
#3808 fs-uae 689 0%
#3809 instantclienttap/instantclient/instantclient-sdk 689 0%
#3810 ipinfo-cli 688 0%
#3811 pulumi/tap/esc 687 0%
#3812 libmtp 687 0%
#3813 osrf/simulation/ignition-math6 686 0%
#3814 jamf/internal-tap/cloudtools@9 686 0%
#3815 osrm-backend 685 0%
#3816 acpica 685 0%
#3817 ocp 684 0%
#3818 dnsrobocert 683 0%
#3819 byteman 683 0%
#3820 cpuid 682 0%
#3821 libnice-gstreamer 682 0%
#3822 lcdf-typetools 682 0%
#3823 acrogenesis/macchanger/macchanger 682 0%
#3824 sipcalc 682 0%
#3825 edgedb/tap/edgedb-cli 681 0%
#3826 sql-language-server 681 0%
#3827 cyphernetes 681 0%
#3828 nerdfix 680 0%
#3829 updatecli/updatecli/updatecli 679 0%
#3830 mariadb-connector-odbc 678 0%
#3831 bbftp-client 678 0%
#3832 libunwind-headers 678 0%
#3833 x11vnc 678 0%
#3834 cassandra-reaper 677 0%
#3835 murex 677 0%
#3836 cql 677 0%
#3837 libgnt 677 0%
#3838 abcde 677 0%
#3839 djl-serving 677 0%
#3840 rcm 677 0%
#3841 shivammathur/extensions/xdebug@8.2 676 0%
#3842 nwchem 675 0%
#3843 acl2 675 0%
#3844 daemon 675 0%
#3845 codelimit 675 0%
#3846 ltex-ls 674 0%
#3847 inspectrum 674 0%
#3848 payara 673 0%
#3849 crispy-doom 673 0%
#3850 joshuto 672 0%
#3851 htmldoc 672 0%
#3852 mulbc/ceph-client/ceph-client 672 0%
#3853 catimg 671 0%
#3854 osrf/simulation/gz-physics8 671 0%
#3855 censys 670 0%
#3856 rbenv-vars 670 0%
#3857 cloudsplaining 670 0%
#3858 rtags 670 0%
#3859 ungit 670 0%
#3860 gau 670 0%
#3861 leapp-cli 670 0%
#3862 defaultbrowser 670 0%
#3863 pg_partman 669 0%
#3864 fclones 669 0%
#3865 umlet 668 0%
#3866 bltool 668 0%
#3867 oculus-vr/tap/meta-xr-simulator 668 0%
#3868 cargo-deny 668 0%
#3869 bit 667 0%
#3870 osrf/simulation/gz-fuel-tools9 666 0%
#3871 supabase/tap/supabase-beta 665 0%
#3872 clickhouse-odbc 665 0%
#3873 libdrm 665 0%
#3874 fastp 664 0%
#3875 basti 664 0%
#3876 djview4 663 0%
#3877 mp4v2 663 0%
#3878 xboard 663 0%
#3879 svg2png 663 0%
#3880 bugsnag/tap/bugsnag-dsym-upload 663 0%
#3881 osrf/simulation/gz-gui8 662 0%
#3882 dmg2img 662 0%
#3883 apache-geode 661 0%
#3884 primesieve 660 0%
#3885 frum 660 0%
#3886 snapcast 660 0%
#3887 ncc 660 0%
#3888 git-xargs 660 0%
#3889 qxmpp 659 0%
#3890 chkrootkit 659 0%
#3891 lha 659 0%
#3892 rbtools 658 0%
#3893 xsimd 658 0%
#3894 gitbucket 658 0%
#3895 clair 658 0%
#3896 ptpython 658 0%
#3897 tre-command 657 0%
#3898 lucagrulla/tap/cw 657 0%
#3899 mcabber 656 0%
#3900 nitrictech/tap/nitric 655 0%
#3901 libgxps 654 0%
#3902 iconsur 654 0%
#3903 netcdf-cxx 654 0%
#3904 erlang@26 654 0%
#3905 cpm 654 0%
#3906 kaitai-struct-compiler 653 0%
#3907 brewsci/bio/clipper4coot 653 0%
#3908 fifechan 652 0%
#3909 nox 652 0%
#3910 strace 652 0%
#3911 pkcs11-tools 651 0%
#3912 qrcp 651 0%
#3913 dockly 650 0%
#3914 pgxnclient 650 0%
#3915 grokj2k 649 0%
#3916 autocannon 649 0%
#3917 libcaca 649 0%
#3918 pipgrip 649 0%
#3919 bup 648 0%
#3920 nifi-registry 648 0%
#3921 amiaopensource/amiaos/ffmpegdecklink 648 0%
#3922 leakcanary-shark 648 0%
#3923 morantron/tmux-fingers/tmux-fingers 648 0%
#3924 loft-sh/tap/vcluster-experimental 647 0%
#3925 dotdrop 647 0%
#3926 carvel-dev/carvel/vendir 645 0%
#3927 platformsh/tap/upsun-cli 645 0%
#3928 bitlbee 645 0%
#3929 imap-backup 644 0%
#3930 sceptre 644 0%
#3931 alluxio 644 0%
#3932 gwt 644 0%
#3933 sidneys/homebrew/openssl@1.0 644 0%
#3934 monkeysphere 644 0%
#3935 dillo 644 0%
#3936 python-typing-extensions 644 0%
#3937 tencentcloud/tccli/tccli 643 0%
#3938 paps 643 0%
#3939 noti 643 0%
#3940 beast 643 0%
#3941 osrf/simulation/gz-sim8 643 0%
#3942 xbyak 643 0%
#3943 cgl 642 0%
#3944 tuist/tuist/tuist@4.21.2 640 0%
#3945 ice 640 0%
#3946 dep-tree 639 0%
#3947 dosfstools 639 0%
#3948 libgusb 639 0%
#3949 dicebear 638 0%
#3950 osrf/simulation/gz-fuel-tools10 638 0%
#3951 tailor 638 0%
#3952 ghorg 638 0%
#3953 utf8cpp 638 0%
#3954 bulk_extractor 637 0%
#3955 cromwell 637 0%
#3956 jolie 637 0%
#3957 imageoptim-cli 636 0%
#3958 gcviewer 636 0%
#3959 libtatsu 636 0%
#3960 hpp-fcl 636 0%
#3961 saf-cli 635 0%
#3962 nsnake 635 0%
#3963 cmark 635 0%
#3964 osrf/simulation/gz-msgs10 635 0%
#3965 libserialport 634 0%
#3966 smartdns 634 0%
#3967 go-jira 634 0%
#3968 jp 633 0%
#3969 osrf/simulation/gz-msgs11 633 0%
#3970 gdubw/gng/gng 633 0%
#3971 kanata 632 0%
#3972 monika 632 0%
#3973 json2ts 632 0%
#3974 sextractor 631 0%
#3975 mariadb@11.4 631 0%
#3976 ical-buddy 630 0%
#3977 vcftools 630 0%
#3978 ldeep 630 0%
#3979 simg2img 629 0%
#3980 shivammathur/php/php@7.4-debug 629 0%
#3981 trafilatura 629 0%
#3982 remarshal 628 0%
#3983 uni 628 0%
#3984 dimentium/autoraise/autoraise 628 0%
#3985 libmpdclient 628 0%
#3986 onlykey-agent 628 0%
#3987 viva64/pvs-studio/pvs-studio 628 0%
#3988 comby 627 0%
#3989 codeclimate/formulae/codeclimate 627 0%
#3990 cadaver 627 0%
#3991 gat 627 0%
#3992 arjun 626 0%
#3993 ssldump 626 0%
#3994 seqtk 626 0%
#3995 osrf/simulation/gz-math7 626 0%
#3996 json5 624 0%
#3997 garble 624 0%
#3998 python-urllib3 624 0%
#3999 osrf/simulation/gz-sensors9 624 0%
#4000 apaffenholz/polymake/polymake 624 0%
#4001 fwartner/tap/mac-cleanup 624 0%
#4002 gnome-themes-extra 624 0%
#4003 michaeleisel/zld/zld 624 0%
#4004 civl 624 0%
#4005 osrf/simulation/gz-transport14 623 0%
#4006 lensfun 623 0%
#4007 rconroy293/seventeenlands/seventeenlands 623 0%
#4008 libnfc 623 0%
#4009 cargo-bundle 623 0%
#4010 pass-git-helper 622 0%
#4011 ditaa 622 0%
#4012 popt 622 0%
#4013 terramaid 621 0%
#4014 cadence-workflow 621 0%
#4015 chronograf 620 0%
#4016 gl2ps 620 0%
#4017 dcm2niix 620 0%
#4018 osrf/simulation/gz-transport13 620 0%
#4019 openmsx 620 0%
#4020 libreadline-java 619 0%
#4021 jmxterm 619 0%
#4022 scala@3.3 619 0%
#4023 git-delete-merged-branches 619 0%
#4024 texttest 618 0%
#4025 fcgi 618 0%
#4026 prefixsuffix 618 0%
#4027 dezoomify-rs 618 0%
#4028 kubespy 618 0%
#4029 chisel 617 0%
#4030 wordnet 617 0%
#4031 pioneers 617 0%
#4032 morpheus-lab/morpheus-versions/morpheus-branch 617 0%
#4033 hivemind 616 0%
#4034 auditbeat 616 0%
#4035 caarlos0/tap/timer 614 0%
#4036 jflex 614 0%
#4037 fileicon 614 0%
#4038 brewsci/bio/raster3d 613 0%
#4039 taskell 613 0%
#4040 treefrog 613 0%
#4041 angle-grinder 613 0%
#4042 hookdeck/hookdeck/hookdeck 613 0%
#4043 keploy 612 0%
#4044 tfmigrate 612 0%
#4045 lockrun 612 0%
#4046 mednafen 612 0%
#4047 ttygif 611 0%
#4048 atool 611 0%
#4049 hashicorp/tap/consul-k8s 611 0%
#4050 jhead 611 0%
#4051 csvq 610 0%
#4052 calabash 610 0%
#4053 pickle 610 0%
#4054 tre 610 0%
#4055 vitess 609 0%
#4056 policy_sentry 609 0%
#4057 vmware-tanzu/carvel/kbld 609 0%
#4058 ios-sim 609 0%
#4059 osrf/simulation/gz-launch7 608 0%
#4060 mad 608 0%
#4061 vorbis-tools 608 0%
#4062 forbidden 607 0%
#4063 gromgit/fuse/ifuse-mac 607 0%
#4064 d12frosted/emacs-plus/emacs-plus@28 607 0%
#4065 killport 607 0%
#4066 proctools 606 0%
#4067 gwenhywfar 606 0%
#4068 scummvm-tools 605 0%
#4069 libxft 605 0%
#4070 shivammathur/extensions/xdebug@8.1 603 0%
#4071 azure/functions/azure-functions-core-tools 603 0%
#4072 gkwa/tools/allbranding 602 0%
#4073 virtualfish 602 0%
#4074 ant@1.9 602 0%
#4075 astgen 601 0%
#4076 excel-compare 601 0%
#4077 doltgres 600 0%
#4078 python-dateutil 600 0%
#4079 ponysay 599 0%
#4080 jsvc 599 0%
#4081 kpcli 599 0%
#4082 ecl 598 0%
#4083 cargo-llvm-cov 598 0%
#4084 epstool 598 0%
#4085 go-feature-flag-relay-proxy 598 0%
#4086 gkwa/tools/cakepalm 597 0%
#4087 libzzip 597 0%
#4088 openliberty-jakartaee8 597 0%
#4089 dnsx 597 0%
#4090 ioctl 597 0%
#4091 osrf/simulation/sdformat15 597 0%
#4092 pyroscope-io/brew/pyroscope 597 0%
#4093 arl/arl/gitmux 597 0%
#4094 yubikey-agent 596 0%
#4095 findomain 596 0%
#4096 scoutsuite 596 0%
#4097 gkwa/tools/cloudelf 596 0%
#4098 valabind 596 0%
#4099 teku 595 0%
#4100 ncmpc 595 0%
#4101 cutter 595 0%
#4102 revive 594 0%
#4103 fanyi 594 0%
#4104 noseyparker 594 0%
#4105 retire 594 0%
#4106 helmsman 593 0%
#4107 gopass-jsonapi 593 0%
#4108 mobiledevice 592 0%
#4109 samuong/alpaca/alpaca 592 0%
#4110 triton 592 0%
#4111 maxwell 592 0%
#4112 gosu 591 0%
#4113 onednn 591 0%
#4114 fetchmail 590 0%
#4115 appleboy/tap/codegpt 590 0%
#4116 tty-clock 589 0%
#4117 cqlkit 588 0%
#4118 clearlooks-phenix 588 0%
#4119 libice 588 0%
#4120 asn 587 0%
#4121 terrastruct/tap/tala 587 0%
#4122 phoronix-test-suite 587 0%
#4123 sipp 586 0%
#4124 osrf/simulation/gz-common6 586 0%
#4125 got 586 0%
#4126 thanos 585 0%
#4127 liblo 585 0%
#4128 jose 585 0%
#4129 pamburus/tap/hl 585 0%
#4130 cloog 585 0%
#4131 graphql-cli 584 0%
#4132 git-ftp 584 0%
#4133 makefile2graph 584 0%
#4134 ns-3 584 0%
#4135 libpaho-mqtt 584 0%
#4136 markdown-toc 584 0%
#4137 gnu-prolog 584 0%
#4138 fabric-installer 584 0%
#4139 nyx 584 0%
#4140 chkbit 583 0%
#4141 jetty-runner 583 0%
#4142 projectm 583 0%
#4143 fits 582 0%
#4144 benerator 582 0%
#4145 oasdiff 582 0%
#4146 diamond 581 0%
#4147 dotenv-linter 581 0%
#4148 inspircd 581 0%
#4149 oxlint 581 0%
#4150 pgroonga 581 0%
#4151 ttyplot 580 0%
#4152 osrf/simulation/gz-sensors8 580 0%
#4153 go-critic 580 0%
#4154 gssdp 580 0%
#4155 kubekey 579 0%
#4156 vnu 579 0%
#4157 yo 579 0%
#4158 gkwa/tools/cloudeagle 579 0%
#4159 tuist/tuist/tuist@3.20.0 578 0%
#4160 brpc 578 0%
#4161 birdayz/kaf/kaf 578 0%
#4162 iina/mpv-iina/mpv-iina 578 0%
#4163 stackql 578 0%
#4164 tmuxinator-completion 578 0%
#4165 git-split-diffs 578 0%
#4166 cpputest 577 0%
#4167 tz 577 0%
#4168 pfetch-rs 577 0%
#4169 md4c 576 0%
#4170 harper 576 0%
#4171 gengetopt 575 0%
#4172 flowpipe 574 0%
#4173 asimov 574 0%
#4174 envchain 574 0%
#4175 argocd-vault-plugin 574 0%
#4176 osrf/simulation/ignition-fortress 574 0%
#4177 srecord 573 0%
#4178 awsdac 573 0%
#4179 wayland 573 0%
#4180 proxmark/proxmark3/proxmark3 573 0%
#4181 gkwa/tools/eachdodge 573 0%
#4182 dart-lang/dart/dart@3.1.5 573 0%
#4183 clangql 573 0%
#4184 mtg/essentia/essentia 572 0%
#4185 gkwa/tools/eachit 572 0%
#4186 osrf/simulation/gz-math8 572 0%
#4187 semtag 572 0%
#4188 jing-trang 571 0%
#4189 gkwa/tools/hercow 571 0%
#4190 sonobuoy 571 0%
#4191 hdf5@1.10 570 0%
#4192 espflash 570 0%
#4193 solr@8.11 570 0%
#4194 source-to-image 570 0%
#4195 libzim 569 0%
#4196 proselint 569 0%
#4197 pagmo 569 0%
#4198 alpine 569 0%
#4199 petsc-complex 568 0%
#4200 gkwa/tools/myville 568 0%
#4201 ncdc 568 0%
#4202 terraform-provider-libvirt 568 0%
#4203 nginx/unit/unit 568 0%
#4204 gkwa/tools/mylime 567 0%
#4205 fblog 567 0%
#4206 kettle 567 0%
#4207 tuist/tuist/tuist@4.16.0 567 0%
#4208 fauna-shell 567 0%
#4209 osrf/simulation/gz-gui9 566 0%
#4210 denominator 566 0%
#4211 osrf/simulation/tinyxml1 566 0%
#4212 brevdev/brev/brev 566 0%
#4213 fujiwara/tap/lambroll 565 0%
#4214 gkwa/tools/irisqueen 565 0%
#4215 maelvls/amc/auto-multiple-choice 565 0%
#4216 tuist/tuist/tuist@4.10.2 565 0%
#4217 rawdog 565 0%
#4218 osrf/simulation/gz-physics7 564 0%
#4219 fgbio 564 0%
#4220 osrf/simulation/gz-ionic 564 0%
#4221 gssh 563 0%
#4222 spectolabs/tap/hoverfly 562 0%
#4223 mgis 562 0%
#4224 gkwa/tools/itmetrics 562 0%
#4225 git-tools 562 0%
#4226 docker-clean 562 0%
#4227 puzzles 562 0%
#4228 tailwarden/komiser/komiser 562 0%
#4229 ruby-completion 562 0%
#4230 libmodplug 562 0%
#4231 mercury 561 0%
#4232 multimarkdown 561 0%
#4233 shellspec 561 0%
#4234 shivammathur/extensions/redis@8.2 561 0%
#4235 diskonaut 561 0%
#4236 nuonco/tap/nuon 561 0%
#4237 digdag 561 0%
#4238 cracklib 561 0%
#4239 alisw/system-deps/alibuild 560 0%
#4240 quill 560 0%
#4241 duply 560 0%
#4242 choose-gui 560 0%
#4243 podlet 560 0%
#4244 hashlink 560 0%
#4245 openliberty-microprofile4 560 0%
#4246 keepassc 560 0%
#4247 graphviz2drawio 560 0%
#4248 fleet-cli 559 0%
#4249 graphqurl 559 0%
#4250 tetra 559 0%
#4251 sesh 558 0%
#4252 mimalloc 558 0%
#4253 autocode 558 0%
#4254 jid 556 0%
#4255 gxml 556 0%
#4256 zsh-lovers 556 0%
#4257 squirrel 556 0%
#4258 woodpecker-cli 556 0%
#4259 gql 556 0%
#4260 osrf/simulation/gz-sim9 555 0%
#4261 cc65 555 0%
#4262 up 555 0%
#4263 netaddr 555 0%
#4264 yorkie 555 0%
#4265 qbittorrent-cli 555 0%
#4266 cppinsights 554 0%
#4267 s3scanner 554 0%
#4268 kcptun 554 0%
#4269 snakeviz 553 0%
#4270 psql2csv 553 0%
#4271 osrf/simulation/sdformat14 553 0%
#4272 pocsuite3 553 0%
#4273 havoc-io/mutagen/mutagen 552 0%
#4274 dokku/repo/dokku 552 0%
#4275 sidekick 552 0%
#4276 gkwa/tools/ourlock 552 0%
#4277 apt 552 0%
#4278 aicommits 551 0%
#4279 heartbeat 551 0%
#4280 osrf/simulation/gz-utils3 551 0%
#4281 wcurl 551 0%
#4282 grantlee 551 0%
#4283 zint 550 0%
#4284 lilv 550 0%
#4285 age-plugin-yubikey 550 0%
#4286 vet 550 0%
#4287 vultr/vultr-cli/vultr-cli 549 0%
#4288 cimg 549 0%
#4289 osrf/simulation/gz-cmake4 548 0%
#4290 tex-fmt 548 0%
#4291 osrf/simulation/gz-rendering9 548 0%
#4292 abi3audit 548 0%
#4293 packetbeat 547 0%
#4294 amp 546 0%
#4295 openliberty-webprofile8 546 0%
#4296 rad-security/kbom/kbom 546 0%
#4297 wikibase-cli 546 0%
#4298 kubefirst/tools/kubefirst 546 0%
#4299 icecast 544 0%
#4300 rxvt-unicode 544 0%
#4301 galen 544 0%
#4302 pdsh 543 0%
#4303 ksops 543 0%
#4304 afl++ 543 0%
#4305 conda-zsh-completion 543 0%
#4306 git-who 543 0%
#4307 dependabot 543 0%
#4308 cryptominisat 543 0%
#4309 blackbox 543 0%
#4310 zurl 542 0%
#4311 osrf/simulation/gz-plugin3 542 0%
#4312 bashdb 542 0%
#4313 procyon-decompiler 541 0%
#4314 lbzip2 541 0%
#4315 solarus 541 0%
#4316 bindgen 541 0%
#4317 overtls 541 0%
#4318 brimdata/tap/zq 540 0%
#4319 null-dev/firefox-profile-switcher/firefox-profile-switcher-connector 540 0%
#4320 scotch 539 0%
#4321 juicefs 539 0%
#4322 sgr 539 0%
#4323 dopewars 539 0%
#4324 tintin 539 0%
#4325 osx-cross/avr/avr-binutils 538 0%
#4326 monetdb 538 0%
#4327 osrf/simulation/ignition-gazebo6 538 0%
#4328 zsh-autopair 538 0%
#4329 argus-clients 538 0%
#4330 gom 537 0%
#4331 xkeyboardconfig 536 0%
#4332 libjcat 535 0%
#4333 primecount 535 0%
#4334 libvisio 535 0%
#4335 shivammathur/extensions/imap@8.2 535 0%
#4336 iso-codes 535 0%
#4337 pbzip2 534 0%
#4338 mallet 533 0%
#4339 ser2net 533 0%
#4340 anhoder/go-musicfox/go-musicfox 533 0%
#4341 pinot 533 0%
#4342 literate-git 533 0%
#4343 osrf/simulation/gz-rendering8 532 0%
#4344 lab 532 0%
#4345 corrosion 531 0%
#4346 tlk/imagemagick-x11/imagemagick 531 0%
#4347 cppi 531 0%
#4348 css-crush 530 0%
#4349 jscpd 530 0%
#4350 dropbear 530 0%
#4351 gardener/tap/gardenlogin 530 0%
#4352 subliminal 530 0%
#4353 azure-storage-cpp 529 0%
#4354 vitobotta/tap/hetzner_k3s 529 0%
#4355 libnatpmp 529 0%
#4356 geph4 529 0%
#4357 autozimu/formulas/unison-fsmonitor 529 0%
#4358 eugenmayer/dockersync/unox 529 0%
#4359 smartthingscommunity/smartthings/smartthings 528 0%
#4360 chars 527 0%
#4361 tea 527 0%
#4362 sofia-sip 527 0%
#4363 babl 526 0%
#4364 cabin 526 0%
#4365 unp 526 0%
#4366 homebrew/portable-ruby/portable-ruby 525 0%
#4367 tuist/tuist/tuist@4.19.0 525 0%
#4368 nmrpflash 525 0%
#4369 wartremover 525 0%
#4370 nomad 524 0%
#4371 termcolor 524 0%
#4372 ldapvi 524 0%
#4373 dovi_tool 524 0%
#4374 osrf/simulation/gz-launch8 524 0%
#4375 qhull 523 0%
#4376 pixie 523 0%
#4377 jbake 523 0%
#4378 bump-my-version 523 0%
#4379 pcapplusplus 523 0%
#4380 gnupg-pkcs11-scd 523 0%
#4381 flamegraph 523 0%
#4382 spirv-cross 522 0%
#4383 exempi 522 0%
#4384 crossplane 522 0%
#4385 sdb 521 0%
#4386 wv 521 0%
#4387 smimesign 521 0%
#4388 keyval-dev/odigos-cli/odigos 520 0%
#4389 felangel/mason/mason 520 0%
#4390 cgns 520 0%
#4391 osrf/simulation/gz-garden 520 0%
#4392 ocm 519 0%
#4393 gf 518 0%
#4394 carvel-dev/carvel/imgpkg 518 0%
#4395 kuzu 518 0%
#4396 c 518 0%
#4397 pygmystack/pygmy/pygmy 517 0%
#4398 swagger2markup-cli 517 0%
#4399 exoscale/tap/exoscale-cli 517 0%
#4400 libbladerf 516 0%
#4401 xaf/omni/omni 516 0%
#4402 gptme 516 0%
#4403 toml11 516 0%
#4404 bench 515 0%
#4405 inko 515 0%
#4406 netatalk 515 0%
#4407 mariadb@11.2 515 0%
#4408 tvnamer 514 0%
#4409 mdformat 513 0%
#4410 sqliteodbc 513 0%
#4411 sfml@2 513 0%
#4412 librest 512 0%
#4413 runit 512 0%
#4414 macos-trash 512 0%
#4415 hfstospell 512 0%
#4416 scarb 512 0%
#4417 cfn-flip 511 0%
#4418 pkgx 510 0%
#4419 flank 510 0%
#4420 huexpress 510 0%
#4421 rsgain 510 0%
#4422 packmol 510 0%
#4423 pulp 509 0%
#4424 pcalc 509 0%
#4425 kuttl 509 0%
#4426 keydb 509 0%
#4427 humio/humio/humioctl 508 0%
#4428 lexicon 508 0%
#4429 slingdata-io/sling/sling 507 0%
#4430 libtrace 507 0%
#4431 sparse 507 0%
#4432 wolfssl 506 0%
#4433 proxsuite 506 0%
#4434 proxytunnel 506 0%
#4435 cf 506 0%
#4436 shivammathur/extensions/redis@7.4 506 0%
#4437 libsail 506 0%
#4438 dhall-yaml 506 0%
#4439 shivammathur/php/php@8.5 506 0%
#4440 magic-wormhole.rs 505 0%
#4441 interlynk-io/interlynk/sbomqs 505 0%
#4442 leg100/tap/pug 505 0%
#4443 ask-cli 505 0%
#4444 qovery/qovery-cli/qovery-cli 505 0%
#4445 csfml 505 0%
#4446 jasmin 504 0%
#4447 fmpp 504 0%
#4448 siderolabs/tap/omnictl 503 0%
#4449 shapelib 503 0%
#4450 taylormonacelli/tools/itmetrics 503 0%
#4451 frege 503 0%
#4452 nvimpager 502 0%
#4453 flagd 502 0%
#4454 gradle-completion 502 0%
#4455 gitlint 502 0%
#4456 flume 502 0%
#4457 vapoursynth-ocr 501 0%
#4458 elm 500 0%
#4459 osrf/simulation/ignition-transport11 500 0%
#4460 mobile-dev-inc/tap/maestro@1.38 500 0%
#4461 zbctl 499 0%
#4462 lexido 499 0%
#4463 libolm 499 0%
#4464 libmspub 499 0%
#4465 edgevpn 499 0%
#4466 vcprompt 498 0%
#4467 kawa 498 0%
#4468 lasi 498 0%
#4469 unikraft/cli/kraftkit 498 0%
#4470 ots 498 0%
#4471 giza 498 0%
#4472 hoverfly 497 0%
#4473 phodav 497 0%
#4474 mk-configure 497 0%
#4475 s4cmd 496 0%
#4476 jsonrpc-glib 495 0%
#4477 jboss-forge 495 0%
#4478 poutine 495 0%
#4479 airspy 495 0%
#4480 chicken 495 0%
#4481 zpaqfranz 495 0%
#4482 vit 494 0%
#4483 scamper 494 0%
#4484 gimme 494 0%
#4485 sigma-cli 494 0%
#4486 pyinvoke 493 0%
#4487 cfripper 493 0%
#4488 gossip 492 0%
#4489 websocketpp 492 0%
#4490 sdl2_sound 491 0%
#4491 notmuch-mutt 491 0%
#4492 grapple-solutions/grapple/grapple-cli 491 0%
#4493 shivammathur/extensions/redis@8.1 491 0%
#4494 coffeescript 491 0%
#4495 go-bindata 491 0%
#4496 cnats 491 0%
#4497 babashka/brew/neil 491 0%
#4498 ormolu 490 0%
#4499 badkeys 490 0%
#4500 zsync 490 0%
#4501 osrf/simulation/ignition-cmake2 490 0%
#4502 stepci 490 0%
#4503 pacmc 490 0%
#4504 fb-client 490 0%
#4505 citus 489 0%
#4506 erg 488 0%
#4507 augustus 488 0%
#4508 cdebug 488 0%
#4509 git-cinnabar 488 0%
#4510 deja-gnu 487 0%
#4511 telnetd 487 0%
#4512 jdnssec-tools 487 0%
#4513 creativeprojects/tap/resticprofile 487 0%
#4514 otree 487 0%
#4515 shivammathur/php/php@8.4-zts 487 0%
#4516 certigo 487 0%
#4517 planck 487 0%
#4518 osrf/simulation/ignition-fuel-tools7 487 0%
#4519 libsigrokdecode 486 0%
#4520 diffoci 486 0%
#4521 hermit 486 0%
#4522 selene 486 0%
#4523 argparse 486 0%
#4524 jnettop 486 0%
#4525 mjml 485 0%
#4526 libp11 485 0%
#4527 spacer 485 0%
#4528 tmt 485 0%
#4529 kwctl 484 0%
#4530 osrf/simulation/ignition-msgs8 484 0%
#4531 workos/tap/workos-cli 484 0%
#4532 garethgeorge/backrest-tap/backrest 484 0%
#4533 streamingfast/tap/substreams 484 0%
#4534 twarc 484 0%
#4535 incu6us/tap/goimports-reviser 483 0%
#4536 simple-amqp-client 483 0%
#4537 vtex/vtex/vtex 483 0%
#4538 log4cplus 483 0%
#4539 goplus 483 0%
#4540 suil 483 0%
#4541 cpio 483 0%
#4542 ooniprobe 483 0%
#4543 pyupgrade 483 0%
#4544 coconut 483 0%
#4545 htmlcompressor 483 0%
#4546 shivammathur/php/php@8.4-debug 482 0%
#4547 salilab/salilab/modeller 482 0%
#4548 berglas 482 0%
#4549 orbiton 482 0%
#4550 librime 481 0%
#4551 zopfli 481 0%
#4552 crytic-compile 481 0%
#4553 cmu-pocketsphinx 481 0%
#4554 tidy-viewer 481 0%
#4555 vfkit 481 0%
#4556 hblock 480 0%
#4557 d235j/ios-restore-tools/gaster 480 0%
#4558 dwdiff 480 0%
#4559 gecode 480 0%
#4560 libmng 480 0%
#4561 nvchecker 479 0%
#4562 algol68g 479 0%
#4563 pyenv-ccache 479 0%
#4564 safety 478 0%
#4565 slurm 478 0%
#4566 shivammathur/php/php@8.4-debug-zts 478 0%
#4567 treeverse/lakefs/lakefs 478 0%
#4568 umple 478 0%
#4569 liquidprompt 478 0%
#4570 ddd 477 0%
#4571 svg2pdf 477 0%
#4572 chainsaw 477 0%
#4573 azure/azure-workload-identity/azwi 476 0%
#4574 resvg 476 0%
#4575 snowflake 475 0%
#4576 libxinerama 475 0%
#4577 logtalk 475 0%
#4578 ssh-mitm 475 0%
#4579 nsq 475 0%
#4580 vowpal-wabbit 474 0%
#4581 connect 473 0%
#4582 tomcat@10 473 0%
#4583 osrf/simulation/ignition-launch5 473 0%
#4584 godap 473 0%
#4585 atombender/ktail/ktail 473 0%
#4586 jorgelbg/tap/pinentry-touchid 471 0%
#4587 nagios-plugins 471 0%
#4588 hexgui 471 0%
#4589 castget 471 0%
#4590 jwt-cli 471 0%
#4591 sloccount 471 0%
#4592 uuu 470 0%
#4593 bsdiff 470 0%
#4594 kubevious 470 0%
#4595 aws/tap/lightsailctl 470 0%
#4596 gnustep-base 469 0%
#4597 inlyne 469 0%
#4598 shivammathur/extensions/imagick@8.3 469 0%
#4599 autorest 469 0%
#4600 gnome-builder 469 0%
#4601 insect 469 0%
#4602 tdlib 469 0%
#4603 par2 468 0%
#4604 jslint4java 468 0%
#4605 notifiers 468 0%
#4606 partio 468 0%
#4607 libsigc++ 467 0%
#4608 forge 467 0%
#4609 hashicorp/tap/sentinel 467 0%
#4610 charm-tools 467 0%
#4611 gem-completion 467 0%
#4612 osrf/simulation/ignition-gui6 467 0%
#4613 osrf/simulation/ignition-sensors6 466 0%
#4614 ki 466 0%
#4615 libfixbuf 466 0%
#4616 helidon 465 0%
#4617 cirruslabs/cli/orchard 465 0%
#4618 watsonbox/cmu-sphinx/cmu-sphinxbase 465 0%
#4619 grizzly 465 0%
#4620 algolia/algolia-cli/algolia 464 0%
#4621 dbt-labs/dbt/dbt-bigquery 464 0%
#4622 astronomer/tap/astro 464 0%
#4623 generate-json-schema 463 0%
#4624 cargo-watch 463 0%
#4625 chipsalliance/verible/verible 463 0%
#4626 quilt-installer 463 0%
#4627 trafficserver 463 0%
#4628 aalib 463 0%
#4629 libmpd 463 0%
#4630 ryelang 462 0%
#4631 json-fortran 462 0%
#4632 brewsci/bio/ssm 462 0%
#4633 color-code 461 0%
#4634 koyeb/tap/koyeb 461 0%
#4635 dart-lang/dart/dart@3.5.4 461 0%
#4636 launchdarkly/tap/ldcli 461 0%
#4637 bigquery-emulator 461 0%
#4638 redex 460 0%
#4639 stellar-core 460 0%
#4640 libsvg-cairo 459 0%
#4641 ccm 459 0%
#4642 checkmake 459 0%
#4643 aljohri/-/docx2pdf 458 0%
#4644 i686-elf-binutils 458 0%
#4645 bartycrouch 458 0%
#4646 gkwa/tools/howbob 457 0%
#4647 ace 457 0%
#4648 osrf/simulation/gz-cmake3 457 0%
#4649 sbuild 457 0%
#4650 swc 457 0%
#4651 tuist/tuist/tuist@4.38.2 457 0%
#4652 libngspice 457 0%
#4653 rage 457 0%
#4654 qdmr 456 0%
#4655 alexjs 456 0%
#4656 run 456 0%
#4657 yaf 456 0%
#4658 px4/px4/px4-sim-gazebo 456 0%
#4659 f1bonacc1/tap/process-compose 456 0%
#4660 yuicompressor 455 0%
#4661 enter-tex 455 0%
#4662 drill 455 0%
#4663 mftrace 455 0%
#4664 streamripper 455 0%
#4665 bcoin 455 0%
#4666 urlview 455 0%
#4667 elixir-tools/tap/next-ls 455 0%
#4668 distcc 454 0%
#4669 adr-viewer 454 0%
#4670 plentymarkets/tools/plenty 454 0%
#4671 usb.ids 454 0%
#4672 atomist-cli 454 0%
#4673 sampler 453 0%
#4674 kumo 453 0%
#4675 lzop 453 0%
#4676 standardese 453 0%
#4677 robusta-dev/holmesgpt/holmesgpt 453 0%
#4678 osx-cross/arm/arm-gcc-bin@10 453 0%
#4679 kubergrunt 453 0%
#4680 cloudflare-quiche 452 0%
#4681 tomoyanonymous/flatcam/flatcam-evo 452 0%
#4682 cbindgen 452 0%
#4683 minimodem 451 0%
#4684 libcdio 451 0%
#4685 terragrunt-atlantis-config 451 0%
#4686 libpinyin 451 0%
#4687 textidote 450 0%
#4688 geni 450 0%
#4689 crystal-icr 450 0%
#4690 gox 450 0%
#4691 ahoy 450 0%
#4692 protoc-gen-grpc-java 450 0%
#4693 cloudfox 450 0%
#4694 shivammathur/extensions/mongodb@8.3 449 0%
#4695 qlik-oss/taps/qlik-corectl 449 0%
#4696 lazysql 449 0%
#4697 mhash 449 0%
#4698 webp-pixbuf-loader 449 0%
#4699 solhint 448 0%
#4700 autorestic 448 0%
#4701 homebrew/portable-ruby/portable-libyaml 448 0%
#4702 star 448 0%
#4703 iamb 448 0%
#4704 ksh93 448 0%
#4705 otf2 447 0%
#4706 riscv/riscv/riscv-gnu-toolchain 447 0%
#4707 fplll 447 0%
#4708 embulk 447 0%
#4709 pop 446 0%
#4710 xmlsectool 446 0%
#4711 mpg321 446 0%
#4712 iam-policy-json-to-terraform 446 0%
#4713 silk 446 0%
#4714 xml-coreutils 446 0%
#4715 mas-cli/tap/mas 446 0%
#4716 osrf/simulation/gz-physics6 446 0%
#4717 savana 445 0%
#4718 gammu 445 0%
#4719 leancodepl/poe2arb/poe2arb 445 0%
#4720 fourstore 445 0%
#4721 waybackpy 445 0%
#4722 moco 445 0%
#4723 hypre 445 0%
#4724 tomee-plus 444 0%
#4725 openliberty-webprofile9 444 0%
#4726 libsvm 444 0%
#4727 jpdfbookmarks 444 0%
#4728 probe-rs/probe-rs/probe-rs 444 0%
#4729 diskus 444 0%
#4730 fzf-make 444 0%
#4731 openliberty-jakartaee9 443 0%
#4732 libopusenc 443 0%
#4733 neilotoole/sq/sq 443 0%
#4734 ferium 443 0%
#4735 ensmallen 443 0%
#4736 yara-x 443 0%
#4737 ren 442 0%
#4738 condure 442 0%
#4739 fish-shell/fish-beta-4/fish 442 0%
#4740 ode 442 0%
#4741 tuist/tuist/tuist@4.12.0 442 0%
#4742 open-mesh 441 0%
#4743 obfs4proxy 441 0%
#4744 thors-mongo 441 0%
#4745 libcdr 441 0%
#4746 labstreaminglayer/tap/lsl 440 0%
#4747 fredowski/pspp/pspp 440 0%
#4748 onionprobe 440 0%
#4749 osrf/simulation/ignition-physics5 440 0%
#4750 tuist/tuist/tuist@3.39.3 440 0%
#4751 stencil 440 0%
#4752 oauth2c 440 0%
#4753 autoconf@2.69 440 0%
#4754 flawz 439 0%
#4755 sdcv 439 0%
#4756 eleventy 439 0%
#4757 bridgecrewio/tap/yor 439 0%
#4758 pdfalyzer 439 0%
#4759 wouterdebie/repo/i2cssh 439 0%
#4760 talloc 439 0%
#4761 zsdx 438 0%
#4762 kubernetes-cli@1.31 438 0%
#4763 id3lib 437 0%
#4764 python-idna 437 0%
#4765 oxker 437 0%
#4766 hfsutils 437 0%
#4767 ecm 437 0%
#4768 xgadget-lab/nexttrace/nexttrace 436 0%
#4769 libgtop 435 0%
#4770 freeling 435 0%
#4771 cli11 435 0%
#4772 standard 434 0%
#4773 animdl 434 0%
#4774 vitetris 434 0%
#4775 tfel 434 0%
#4776 catme0w/tap/zouxian 434 0%
#4777 tomee-plume 434 0%
#4778 blacktop/tap/lporg 433 0%
#4779 fpp 433 0%
#4780 librespot 433 0%
#4781 libid3tag 433 0%
#4782 phylum-cli 433 0%
#4783 apcupsd 433 0%
#4784 psgrep 432 0%
#4785 aide 432 0%
#4786 mupen64plus 432 0%
#4787 js-beautify 432 0%
#4788 evernote2md 431 0%
#4789 sqlancer 431 0%
#4790 antlr4-cpp-runtime 431 0%
#4791 amfora 431 0%
#4792 locateme 431 0%
#4793 hsd 430 0%
#4794 thriftgo 430 0%
#4795 scalastyle 430 0%
#4796 smug 430 0%
#4797 keith/formulae/dyld-shared-cache-extractor 430 0%
#4798 ncmdump 429 0%
#4799 mediaarea/mediaarea/dvrescue 429 0%
#4800 tomee-webprofile 429 0%
#4801 kitex 429 0%
#4802 nestopia-ue 429 0%
#4803 boost-bcp 429 0%
#4804 rush 429 0%
#4805 fdk-aac-encoder 429 0%
#4806 osrf/simulation/sdformat12 429 0%
#4807 redshift 428 0%
#4808 typedb 428 0%
#4809 fred 428 0%
#4810 zsxd 428 0%
#4811 libint 427 0%
#4812 daytonaio/tap/daytona 426 0%
#4813 so 426 0%
#4814 archi-steam-farm 426 0%
#4815 checkmarx/tap/kics 426 0%
#4816 autodiff 426 0%
#4817 dhall 426 0%
#4818 pluralsh/plural/plural 425 0%
#4819 gforth 425 0%
#4820 f2 424 0%
#4821 pter 424 0%
#4822 nomino 424 0%
#4823 rdap 423 0%
#4824 nxtrace/nexttrace/nexttrace 423 0%
#4825 blackmann/brew/zed-theme-importer 423 0%
#4826 tuist/tuist/tuist@4.43.2 423 0%
#4827 brewsci/bio/prokka 422 0%
#4828 getgrit/tap/grit 422 0%
#4829 cargo-about 422 0%
#4830 cloud-provider-kind 422 0%
#4831 goreman 421 0%
#4832 athenacli 421 0%
#4833 envio 421 0%
#4834 cwb3 421 0%
#4835 portal 421 0%
#4836 greenmask 420 0%
#4837 ssh-vault 419 0%
#4838 template-glib 419 0%
#4839 shivammathur/php/php-zts 419 0%
#4840 xeol 419 0%
#4841 assh 419 0%
#4842 trzsz-go 419 0%
#4843 qtads 418 0%
#4844 notify 418 0%
#4845 libgsm 418 0%
#4846 bookloupe 418 0%
#4847 sendemail 418 0%
#4848 osrf/simulation/gz-sensors7 418 0%
#4849 cpr 418 0%
#4850 shivammathur/extensions/mongodb@8.1 418 0%
#4851 zelda-roth-se 418 0%
#4852 charmbracelet/tap/gum 418 0%
#4853 redis-developer/tap/riot 418 0%
#4854 paradigmxyz/brew/reth 418 0%
#4855 osrf/simulation/ignition-common4 417 0%
#4856 nauty 417 0%
#4857 bison@2.7 417 0%
#4858 osrf/simulation/gz-fuel-tools8 417 0%
#4859 wtf 417 0%
#4860 defenseunicorns/tap/uds@0.19.2 417 0%
#4861 spin 417 0%
#4862 zsh-navigation-tools 417 0%
#4863 urlscan 416 0%
#4864 smpeg 416 0%
#4865 prqlc 416 0%
#4866 roblox-ts 416 0%
#4867 gotests 416 0%
#4868 ameshkov/tap/dnslookup 416 0%
#4869 pwned 415 0%
#4870 blendle/blendle/kns 415 0%
#4871 zfind 415 0%
#4872 vultr 414 0%
#4873 dexter 414 0%
#4874 atac 414 0%
#4875 brewsci/bio/hisat2 414 0%
#4876 flintrock 414 0%
#4877 hlint 413 0%
#4878 rtmidi 413 0%
#4879 tartufo 413 0%
#4880 ansiweather 413 0%
#4881 libseccomp 413 0%
#4882 osrf/simulation/gz-msgs9 412 0%
#4883 netlistsvg 412 0%
#4884 osrf/simulation/gz-plugin2 412 0%
#4885 pug 412 0%
#4886 memray 412 0%
#4887 arx-libertatis 412 0%
#4888 oil 412 0%
#4889 cattle 412 0%
#4890 buildkite/buildkite/bk@3 412 0%
#4891 osrf/simulation/gz-sim7 412 0%
#4892 guumaster/tap/hostctl 412 0%
#4893 core-lightning 411 0%
#4894 doitlive 411 0%
#4895 docx2txt 411 0%
#4896 cotp 411 0%
#4897 erofs-utils 410 0%
#4898 patchutils 410 0%
#4899 purescript-language-server 410 0%
#4900 conduit 410 0%
#4901 carvel-dev/carvel/kbld 410 0%
#4902 rainfrog 410 0%
#4903 zile 410 0%
#4904 sandreas/tap/m4b-tool 409 0%
#4905 lfe 409 0%
#4906 cloudflare-cli4 409 0%
#4907 osinfo-db 409 0%
#4908 frege-repl 409 0%
#4909 shivammathur/extensions/mongodb@7.4 409 0%
#4910 ada-url 409 0%
#4911 libwmf 409 0%
#4912 osrf/simulation/ignition-rendering6 409 0%
#4913 dotbot 408 0%
#4914 urlwatch 408 0%
#4915 bbc/audiowaveform/audiowaveform 408 0%
#4916 stanford-parser 408 0%
#4917 xinput 408 0%
#4918 prr 407 0%
#4919 tasksh 407 0%
#4920 ginac 407 0%
#4921 mfem 407 0%
#4922 bib-tool 407 0%
#4923 eg-examples 406 0%
#4924 eddieantonio/eddieantonio/imgcat 406 0%
#4925 gitlab-gem 406 0%
#4926 cidr 406 0%
#4927 crowdin/crowdin/crowdin@4 405 0%
#4928 rdiff-backup 405 0%
#4929 dbhash 405 0%
#4930 oscats 405 0%
#4931 erdtree 405 0%
#4932 woof-doom 405 0%
#4933 osrf/simulation/gz-gui7 405 0%
#4934 epr 404 0%
#4935 veclibfort 404 0%
#4936 soplex 404 0%
#4937 ffind 404 0%
#4938 osrf/simulation/gz-utils2 403 0%
#4939 gumbo-parser 403 0%
#4940 ichizok/priv/vim 403 0%
#4941 git-spice 402 0%
#4942 container-diff 402 0%
#4943 git-subrepo 402 0%
#4944 boring 402 0%
#4945 fuc 401 0%
#4946 prjtrellis 401 0%
#4947 collada-dom 401 0%
#4948 eget 401 0%
#4949 kalker 401 0%
#4950 libicns 401 0%
#4951 ohdear-cli 401 0%
#4952 build-trust/ockam/ockam 401 0%
#4953 woof 401 0%
#4954 kubehound 400 0%
#4955 snzip 400 0%
#4956 chalk-cli 400 0%
#4957 plow 400 0%
#4958 rdb 400 0%
#4959 ntl 399 0%
#4960 karmadactl 399 0%
#4961 mesalib-glw 399 0%
#4962 gyb 399 0%
#4963 datamash 399 0%
#4964 highs 398 0%
#4965 softhsm 398 0%
#4966 png++ 398 0%
#4967 scalariform 398 0%
#4968 gromgit/fuse/xmount-mac 398 0%
#4969 riscv64-elf-binutils 397 0%
#4970 cargo-llvm-lines 397 0%
#4971 terracognita 397 0%
#4972 babashka/brew/bbin 397 0%
#4973 brewsci/bio/gemmi 397 0%
#4974 stanford-corenlp 397 0%
#4975 osrf/simulation/ignition-gui3 396 0%
#4976 pioneer 396 0%
#4977 gfold 396 0%
#4978 liquid-dsp 396 0%
#4979 kondo 395 0%
#4980 stoken 395 0%
#4981 bruno-cli 395 0%
#4982 tuist/tuist/tuist@4.8.0 395 0%
#4983 oauth2_proxy 395 0%
#4984 sophus 395 0%
#4985 osrf/simulation/gz-launch6 395 0%
#4986 metaproxy 395 0%
#4987 riemann 394 0%
#4988 dark-mode 394 0%
#4989 libnids 394 0%
#4990 pywhat 394 0%
#4991 dmenu 394 0%
#4992 quarylabs/quary/sqruff 394 0%
#4993 viamrobotics/brews/viam 394 0%
#4994 libpaper 394 0%
#4995 ingress2gateway 394 0%
#4996 oj 393 0%
#4997 shivammathur/php/php@8.2-debug 393 0%
#4998 goreleaser/tap/nfpm 393 0%
#4999 ninvaders 393 0%
#5000 sn0int 393 0%
#5001 redis/tap/riot 393 0%
#5002 pip-completion 392 0%
#5003 osrf/simulation/sdformat9 392 0%
#5004 tile38 392 0%
#5005 duo_unix 392 0%
#5006 redress 392 0%
#5007 mdv 392 0%
#5008 install-peerdeps 392 0%
#5009 lgeneral 392 0%
#5010 xwmx/taps/hosts 392 0%
#5011 danielgtaylor/restish/restish 392 0%
#5012 vsearch 392 0%
#5013 go-vela/vela/vela 391 0%
#5014 pandocomatic 391 0%
#5015 sdedit 390 0%
#5016 gpsd 390 0%
#5017 gorilla-cli 390 0%
#5018 ccrypt 390 0%
#5019 hatari 390 0%
#5020 etl 389 0%
#5021 osrf/simulation/gz-transport12 388 0%
#5022 cargo-crev 388 0%
#5023 kapacitor 388 0%
#5024 gkwa/tools/manywest 388 0%
#5025 pygitup 387 0%
#5026 opencl-icd-loader 387 0%
#5027 kcl-lang/tap/kcl-lsp 387 0%
#5028 clblast 387 0%
#5029 idoavrah/homebrew/tftui 387 0%
#5030 mrboom 387 0%
#5031 pnetcdf 387 0%
#5032 charmbracelet/tap/wishlist 387 0%
#5033 brewsci/bio/iqtree2 387 0%
#5034 brev 386 0%
#5035 massdns 386 0%
#5036 keepkey-agent 386 0%
#5037 libdex 386 0%
#5038 kevemueller/ksysroot/debootstrap 386 0%
#5039 grayskull 385 0%
#5040 jzelinskie/duckdns/duckdns 385 0%
#5041 advancecomp 385 0%
#5042 drone/drone/drone 385 0%
#5043 gnu-smalltalk 385 0%
#5044 brewsci/bio/hh-suite 385 0%
#5045 tsuru/tsuru/tsuru 384 0%
#5046 lrzip 384 0%
#5047 hex 384 0%
#5048 ngt 384 0%
#5049 deadfinder 384 0%
#5050 biosig 384 0%
#5051 pass-import 383 0%
#5052 porter 383 0%
#5053 imposm3 383 0%
#5054 countdown 383 0%
#5055 shivammathur/extensions/pcov@8.3 383 0%
#5056 austin 383 0%
#5057 sshfs 383 0%
#5058 wego 382 0%
#5059 mbt 382 0%
#5060 vipsdisp 382 0%
#5061 calcurse 382 0%
#5062 glyr 382 0%
#5063 sambadevi/powerlevel9k/powerlevel9k 382 0%
#5064 gitter-cli 381 0%
#5065 gist 381 0%
#5066 seam 381 0%
#5067 uriparser 381 0%
#5068 fprettify 381 0%
#5069 websocketd 381 0%
#5070 camlp5 381 0%
#5071 plotutils 381 0%
#5072 elvis 380 0%
#5073 loudmouth 380 0%
#5074 icemon 380 0%
#5075 sjk 380 0%
#5076 yarn-completion 380 0%
#5077 ocaml-zarith 380 0%
#5078 brewsci/bio/dssp 380 0%
#5079 bashate 380 0%
#5080 mvtools 380 0%
#5081 gocloc 379 0%
#5082 cointop 379 0%
#5083 libidl 379 0%
#5084 fidelity/tap/kconnect 379 0%
#5085 embree 379 0%
#5086 sql-lint 378 0%
#5087 mfoc 378 0%
#5088 shivammathur/extensions/xdebug@8.4 378 0%
#5089 clickhouse-cpp 378 0%
#5090 cgif 378 0%
#5091 libtermkey 378 0%
#5092 certstrap 378 0%
#5093 charmbracelet/tap/soft-serve 378 0%
#5094 trycua/lume/lume 378 0%
#5095 cake 377 0%
#5096 kepubify 376 0%
#5097 libxaw3d 376 0%
#5098 cortex 376 0%
#5099 cdi 376 0%
#5100 nping 376 0%
#5101 eva 376 0%
#5102 dtools 376 0%
#5103 azure/kubelogin/az-kubelogin 375 0%
#5104 hercules 375 0%
#5105 moderneinc/moderne/mod 375 0%
#5106 tomanthony/brews/itermocil 375 0%
#5107 inform6 375 0%
#5108 emacs-clang-complete-async 374 0%
#5109 restview 374 0%
#5110 osrf/simulation/ignition-plugin1 374 0%
#5111 htmlcleaner 374 0%
#5112 patch-package 374 0%
#5113 deus0ww/tap/mpv 374 0%
#5114 bgpq4 374 0%
#5115 liqoctl 374 0%
#5116 cloudflare/cloudflare/curl 373 0%
#5117 spim 373 0%
#5118 gabotechs/taps/musicgpt 373 0%
#5119 openlibm 373 0%
#5120 mysql++ 373 0%
#5121 postgraphile 373 0%
#5122 certsync 373 0%
#5123 mdf2iso 373 0%
#5124 sysaidmin 373 0%
#5125 osrf/simulation/ignition-tools 372 0%
#5126 keith/formulae/reminders-cli 372 0%
#5127 xmlrpc-c 372 0%
#5128 clangen/musikcube/musikcube 372 0%
#5129 d235j/ios-restore-tools/libimobiledevice 372 0%
#5130 megacmd 372 0%
#5131 minijinja-cli 372 0%
#5132 box2d 371 0%
#5133 skinny 371 0%
#5134 dbt-labs/dbt/dbt 371 0%
#5135 tuist/tuist/tuist@4.11.0 371 0%
#5136 c6o/tap/codezero 371 0%
#5137 keystone 371 0%
#5138 swiftdraw 371 0%
#5139 scdoc 370 0%
#5140 richgo 370 0%
#5141 stanford-ner 370 0%
#5142 libdpp 370 0%
#5143 qsoas 370 0%
#5144 osrf/simulation/ignition-utils1 370 0%
#5145 lacework-cli 370 0%
#5146 quick-lint-js 370 0%
#5147 gupnp-av 369 0%
#5148 rush-parallel 369 0%
#5149 ugit 369 0%
#5150 creduce 369 0%
#5151 pam-reattach 368 0%
#5152 libsoundio 368 0%
#5153 ssh3 368 0%
#5154 libao 368 0%
#5155 osrf/simulation/ignition-sensors3 368 0%
#5156 redpen 368 0%
#5157 cpp-gsl 368 0%
#5158 graphqlviz 367 0%
#5159 kyma-cli 367 0%
#5160 humanitec/tap/cli 367 0%
#5161 cobalt 366 0%
#5162 utimer 366 0%
#5163 carvel-dev/carvel/kctrl 366 0%
#5164 qrupdate 365 0%
#5165 pgpool-ii 365 0%
#5166 vitkabele/tap/mtn 365 0%
#5167 scrypt 364 0%
#5168 sambamba 364 0%
#5169 kylef/formulae/swiftenv 364 0%
#5170 wenjunxiao/brew/docker-connector 364 0%
#5171 aptly 363 0%
#5172 5ouma/formula/gh-dash 363 0%
#5173 bash-snippets 363 0%
#5174 openpmd/openpmd/openpmd-api 363 0%
#5175 archiver 363 0%
#5176 jpeginfo 363 0%
#5177 node@12 363 0%
#5178 kitchen-sync 363 0%
#5179 libmp3splt 363 0%
#5180 all-repos 362 0%
#5181 helmwave/tap/helmwave 362 0%
#5182 treefmt 362 0%
#5183 name-that-hash 362 0%
#5184 page 362 0%
#5185 gcab 362 0%
#5186 finnvoor/tools/fx-upscale 361 0%
#5187 fujiwara/tap/ecsta 361 0%
#5188 libxv 360 0%
#5189 geoip2fast 360 0%
#5190 zebra 360 0%
#5191 pkg-config-wrapper 360 0%
#5192 manifest-tool 359 0%
#5193 osrf/simulation/gz-rendering7 359 0%
#5194 ebullient/tap/ttrpg-convert-cli 359 0%
#5195 lbdb 359 0%
#5196 omniorb 359 0%
#5197 stripe/stripe-mock/stripe-mock 358 0%
#5198 gpsim 358 0%
#5199 redocly-cli 358 0%
#5200 findent 358 0%
#5201 osrf/simulation/sdformat13 358 0%
#5202 gitsign 358 0%
#5203 hy 357 0%
#5204 gnmic 357 0%
#5205 python-freethreading 357 0%
#5206 tgui 357 0%
#5207 shivammathur/extensions/mongodb@8.2 357 0%
#5208 openjph 357 0%
#5209 tcptrace 356 0%
#5210 ucloud 356 0%
#5211 sequoia-sq 356 0%
#5212 tmux-sessionizer 356 0%
#5213 waydabber/betterdisplay/betterdisplaycli 355 0%
#5214 h3 355 0%
#5215 nexus 355 0%
#5216 cekit 354 0%
#5217 felixkratz/formulae/svim 354 0%
#5218 nrpe 354 0%
#5219 caf 354 0%
#5220 opencl-clhpp-headers 353 0%
#5221 turboninh/taps/uninstall-cli 353 0%
#5222 lndir 352 0%
#5223 ringojs 352 0%
#5224 shivammathur/extensions/imagick@8.2 352 0%
#5225 mintoolkit 352 0%
#5226 libassuan@2 352 0%
#5227 defenseunicorns/tap/uds@0.21.0 352 0%
#5228 jet 352 0%
#5229 pius 352 0%
#5230 viennacl 351 0%
#5231 facad 351 0%
#5232 libwpd 350 0%
#5233 yaws 350 0%
#5234 write-good 350 0%
#5235 veilid 350 0%
#5236 git-series 350 0%
#5237 mitchellh/gon/gon 350 0%
#5238 shyaml 350 0%
#5239 bgpstream 350 0%
#5240 attr 349 0%
#5241 stuntman 349 0%
#5242 rekor-cli 349 0%
#5243 roapi 349 0%
#5244 freebayes 348 0%
#5245 fluxcd/tap/flux@0.41 348 0%
#5246 kaytu-io/cli-tap/kaytu 348 0%
#5247 weaveworks/tap/gitops 348 0%
#5248 mono0926/license-plist/license-plist 347 0%
#5249 dotter 347 0%
#5250 osrf/simulation/ignition-gazebo3 347 0%
#5251 liblouis 346 0%
#5252 streamrip 346 0%
#5253 bkt 346 0%
#5254 pkgdiff 346 0%
#5255 nanobind 346 0%
#5256 ghc@9.6 346 0%
#5257 s-lang 345 0%
#5258 nickel 345 0%
#5259 cminpack 345 0%
#5260 caarlos0/tap/svu 345 0%
#5261 gsasl 344 0%
#5262 tbvdm/tap/sigtop 344 0%
#5263 opencsg 344 0%
#5264 nanoflann 344 0%
#5265 bigloo 344 0%
#5266 dar 344 0%
#5267 liblcf 343 0%
#5268 beancount-language-server 343 0%
#5269 osc-cli 342 0%
#5270 vmware-tanzu/carvel/kctrl 342 0%
#5271 score-spec/tap/score-compose 342 0%
#5272 wal2json 342 0%
#5273 hck 342 0%
#5274 fujiwara/tap/tfstate-lookup 342 0%
#5275 pms 341 0%
#5276 tfschema 341 0%
#5277 libusrsctp 341 0%
#5278 shivammathur/php/php@8.1-debug 341 0%
#5279 burp 341 0%
#5280 stackitcloud/tap/stackit 341 0%
#5281 bnfc 341 0%
#5282 termscp 341 0%
#5283 valet-sh/core/vsh-php56 341 0%
#5284 raven 341 0%
#5285 dump1090-mutability 340 0%
#5286 hashicorp/tap/vault-enterprise 340 0%
#5287 polyml 340 0%
#5288 openfast 340 0%
#5289 osrf/simulation/ogre1.9 340 0%
#5290 cargo-auditable 340 0%
#5291 webkit2png 340 0%
#5292 libcroco 339 0%
#5293 ipmiutil 339 0%
#5294 ncrack 339 0%
#5295 cgdb 339 0%
#5296 lua@5.1 339 0%
#5297 libharu 339 0%
#5298 xrdb 338 0%
#5299 litmusctl 338 0%
#5300 clib 338 0%
#5301 massdriver 338 0%
#5302 prodigal 338 0%
#5303 honcho 338 0%
#5304 osrf/simulation/ogre 337 0%
#5305 cyclonedx-gomod 337 0%
#5306 amar1729/deluge-meta/deluge-meta 337 0%
#5307 osrf/simulation/ignition-launch2 337 0%
#5308 s5cmd 337 0%
#5309 libuninameslist 337 0%
#5310 onnx 337 0%
#5311 swiftplantuml 336 0%
#5312 ducker 336 0%
#5313 fair-ground/tool/fairtool 336 0%
#5314 java-service-wrapper 336 0%
#5315 mpfi 336 0%
#5316 libuvc 336 0%
#5317 edbrowse 336 0%
#5318 git-fixup 336 0%
#5319 vttest 335 0%
#5320 inkdrop-org/inkdrop-visualizer/inkdrop-visualizer 335 0%
#5321 libshout 335 0%
#5322 analog 335 0%
#5323 aftman 335 0%
#5324 osrf/simulation/ignition-citadel 334 0%
#5325 serialosc 334 0%
#5326 legit 334 0%
#5327 gowebly/tap/gowebly 334 0%
#5328 libmetalink 334 0%
#5329 the_platinum_searcher 334 0%
#5330 pazpar2 334 0%
#5331 gromgit/fuse/rofs-filtered-mac 334 0%
#5332 cdrdao 333 0%
#5333 veracode/tap/veracode-cli 333 0%
#5334 lunar-date 333 0%
#5335 dnsmap 333 0%
#5336 lzfse 333 0%
#5337 wazero 333 0%
#5338 yh 332 0%
#5339 dart-lang/dart/dart@3.2.6 332 0%
#5340 goread 332 0%
#5341 tere 332 0%
#5342 tenderly/tenderly/tenderly 331 0%
#5343 sqlfmt 331 0%
#5344 baidupcs-go 331 0%
#5345 kuto 331 0%
#5346 cuetools 331 0%
#5347 apidoc 331 0%
#5348 pinact 331 0%
#5349 fluxcd/tap/flux@2.2 330 0%
#5350 z.lua 330 0%
#5351 textract 330 0%
#5352 minisat 330 0%
#5353 libbi 330 0%
#5354 ruimarinho/tap/gsts 330 0%
#5355 kluctl/tap/kluctl 329 0%
#5356 repopack 329 0%
#5357 khard 329 0%
#5358 texmath 329 0%
#5359 flamebearer 329 0%
#5360 owenthereal/upterm/upterm 329 0%
#5361 c-blosc2 328 0%
#5362 pomerium/tap/pomerium-cli 328 0%
#5363 tuist/tuist/tuist@3.38.0 328 0%
#5364 rustc-completion 328 0%
#5365 azqr 328 0%
#5366 cfonts 328 0%
#5367 rnr 327 0%
#5368 tbckr/tap/sgpt 327 0%
#5369 shntool 327 0%
#5370 shibboleth-sp 327 0%
#5371 mongo-orchestration 327 0%
#5372 skeema 326 0%
#5373 golangci-lint-langserver 326 0%
#5374 vulture 326 0%
#5375 stackhawk/cli/hawk 326 0%
#5376 prs 326 0%
#5377 mp3splt 326 0%
#5378 yuccastream/tap/tuna 326 0%
#5379 mysqltuner 325 0%
#5380 reorder-python-imports 325 0%
#5381 procmail 325 0%
#5382 osrf/simulation/ignition-rendering3 325 0%
#5383 messense/macos-cross-toolchains/x86_64-unknown-linux-musl 324 0%
#5384 gabotechs/taps/dep-tree 324 0%
#5385 spglib 324 0%
#5386 retdec 324 0%
#5387 open-adventure 324 0%
#5388 alp 324 0%
#5389 counterfeiter 324 0%
#5390 ocaml-num 323 0%
#5391 airspyhf 323 0%
#5392 songmu/tap/ecschedule 323 0%
#5393 sickchill 323 0%
#5394 spawn-fcgi 323 0%
#5395 timberio/brew/vector 323 0%
#5396 mmctl 322 0%
#5397 tuist/tuist/tuist@3.19.0 322 0%
#5398 harelba/q/q 322 0%
#5399 fourmolu 322 0%
#5400 ctl 322 0%
#5401 shivammathur/extensions/apcu@8.3 322 0%
#5402 bioperl 322 0%
#5403 libcec 322 0%
#5404 chruby-fish 322 0%
#5405 coin-or-tools/coinor/cbc 322 0%
#5406 cargo-all-features 321 0%
#5407 xroar 321 0%
#5408 htpdate 321 0%
#5409 cntb 321 0%
#5410 ratchet 321 0%
#5411 kubewall/tap/kubewall 321 0%
#5412 yelp-tools 321 0%
#5413 libxmp 321 0%
#5414 ps2eps 321 0%
#5415 frizbee 320 0%
#5416 valet-sh/core/vsh-php80 320 0%
#5417 valet-sh/core/vsh-mysql80 320 0%
#5418 nng 320 0%
#5419 lotyp/formulae/dockutil 320 0%
#5420 nedit 320 0%
#5421 kubesess 319 0%
#5422 webpod 319 0%
#5423 daq 319 0%
#5424 pdfrip 319 0%
#5425 domq/gdb/gdb 319 0%
#5426 c3-e/tools/ansible 318 0%
#5427 alisw/system-deps/o2-full-deps 318 0%
#5428 libserdes 318 0%
#5429 libtorrent-rakshasa 318 0%
#5430 objfw 317 0%
#5431 c10t 317 0%
#5432 tailor-platform/tap/tailorctl 317 0%
#5433 pirj/noclamshell/noclamshell 316 0%
#5434 shellharden 316 0%
#5435 gomodifytags 316 0%
#5436 jtbl 316 0%
#5437 cargo-bloat 315 0%
#5438 cloudlist 315 0%
#5439 reposurgeon 315 0%
#5440 cryptol 315 0%
#5441 kanidm/kanidm/kanidm 315 0%
#5442 re-flex 315 0%
#5443 johanneskaufmann/tap/html2markdown 315 0%
#5444 choose 315 0%
#5445 shunit2 314 0%
#5446 cddlib 314 0%
#5447 uutils-diffutils 314 0%
#5448 abinit/tap/abinit 314 0%
#5449 gotestwaf 314 0%
#5450 dunamai 314 0%
#5451 zf 313 0%
#5452 goawk 313 0%
#5453 zigmod 313 0%
#5454 heremaps/olp-cli/olp 313 0%
#5455 valet-sh/core/vsh-php70 313 0%
#5456 git-remote-hg 313 0%
#5457 isc-dhcp 313 0%
#5458 zsh-async 313 0%
#5459 libmms 313 0%
#5460 search-that-hash 312 0%
#5461 bamtools 312 0%
#5462 slowhttptest 312 0%
#5463 libbtbb 312 0%
#5464 odo-dev 312 0%
#5465 skktools 312 0%
#5466 codec2 311 0%
#5467 dhall-json 311 0%
#5468 valet-sh/core/vsh-mysql57 311 0%
#5469 getmail6 311 0%
#5470 thethingsnetwork/lorawan-stack/ttn-lw-cli 311 0%
#5471 clozure-cl 311 0%
#5472 centrifugal/centrifugo/centrifugo 311 0%
#5473 pwncat 310 0%
#5474 valet-sh/core/vsh-php72 310 0%
#5475 malinskiy/tap/marathon 310 0%
#5476 chainhook 310 0%
#5477 allcloud-io/tools/clisso 310 0%
#5478 sqldef/sqldef/psqldef 309 0%
#5479 valet-sh/core/vsh-php81 309 0%
#5480 prestd 309 0%
#5481 csvtomd 309 0%
#5482 markdown-oxide 309 0%
#5483 uvw 309 0%
#5484 docker-machine-driver-vultr 308 0%
#5485 ftgl 308 0%
#5486 clash 308 0%
#5487 fypp 308 0%
#5488 apple/apple/game-porting-toolkit-compiler 308 0%
#5489 mlton 308 0%
#5490 datamindedbe/conveyor-formulas/conveyor 308 0%
#5491 picat 308 0%
#5492 massren 308 0%
#5493 libspiro 308 0%
#5494 gromgit/fuse/encfs-mac 307 0%
#5495 pferd 307 0%
#5496 nativeos/i386-elf-toolchain/i386-elf-gcc 307 0%
#5497 wcslib 307 0%
#5498 jsdelivr/globalping/globalping 307 0%
#5499 bandicoot 307 0%
#5500 kubectl-rook-ceph 306 0%
#5501 polkit 306 0%
#5502 wasi-runtimes 306 0%
#5503 vcsh 306 0%
#5504 valet-sh/core/vsh-php71 306 0%
#5505 vectorizedio/tap/redpanda 306 0%
#5506 falcoctl 306 0%
#5507 mbpoll 306 0%
#5508 tylerbrock/saw/saw 306 0%
#5509 ms-jpq/sad/sad 306 0%
#5510 shuffledns 305 0%
#5511 libxxf86vm 305 0%
#5512 soapyhackrf 305 0%
#5513 pjproject 305 0%
#5514 gi-docgen 305 0%
#5515 driftctl 305 0%
#5516 go-camo 305 0%
#5517 cariddi 305 0%
#5518 valet-sh/core/vsh-mariadb104 304 0%
#5519 garden-io/garden/garden-cli@0.12 304 0%
#5520 zero-install 304 0%
#5521 shivammathur/php/php@8.5-zts 304 0%
#5522 lune 304 0%
#5523 flawfinder 304 0%
#5524 slsa-verifier 304 0%
#5525 mpack 304 0%
#5526 risingwavelabs/risingwave/risingwave 304 0%
#5527 gambit 304 0%
#5528 sound-touch 304 0%
#5529 stateful/tap/runme 304 0%
#5530 streamx-dev/preview-tap/streamx 303 0%
#5531 geoserver 303 0%
#5532 lusingander/tap/serie 303 0%
#5533 daviderestivo/emacs-head/emacs-head@31 303 0%
#5534 dpo/mumps-jl/qr_mumps 303 0%
#5535 libosmium 303 0%
#5536 webkitgtk 303 0%
#5537 vagrant-completion 303 0%
#5538 pivit 303 0%
#5539 kubeshop/tracetest/tracetest 303 0%
#5540 shivammathur/extensions/swoole@8.3 303 0%
#5541 legitify 303 0%
#5542 valet-sh/core/vsh-php74 303 0%
#5543 xwin 302 0%
#5544 ospray 302 0%
#5545 peg-markdown 302 0%
#5546 charmbracelet/tap/vhs 302 0%
#5547 dra 302 0%
#5548 morse 302 0%
#5549 nativefier 302 0%
#5550 tlsx 302 0%
#5551 ncruces/tap/zenity 301 0%
#5552 bzip3 301 0%
#5553 fatal 301 0%
#5554 numactl 301 0%
#5555 fq 301 0%
#5556 maven-completion 301 0%
#5557 git-open 301 0%
#5558 terraform_landscape 300 0%
#5559 mktorrent 300 0%
#5560 viva64/pvs-studio/pvs-studio-dotnet 300 0%
#5561 segment-integrations/formulae/swift-create-xcframework 300 0%
#5562 pulumi/tap/pulumictl 300 0%
#5563 clevercloud/tap/clever-tools 299 0%
#5564 traefik@2 299 0%
#5565 sh4d0wup 299 0%
#5566 bedops 299 0%
#5567 varabyte/tap/kobweb 299 0%
#5568 alberttwong/thrift/thrift@0.13 298 0%
#5569 crow 298 0%
#5570 ssdeep 298 0%
#5571 debianutils 298 0%
#5572 ec2-ami-tools 298 0%
#5573 cryfs/tap/cryfs 298 0%
#5574 libupnp 298 0%
#5575 github-release 298 0%
#5576 poke 298 0%
#5577 hq 298 0%
#5578 shtools 298 0%
#5579 saucelabs/tap/sauce-connect 297 0%
#5580 emacs-dracula 297 0%
#5581 pam-u2f 297 0%
#5582 version-fox/tap/vfox 297 0%
#5583 cartridge-cli 297 0%
#5584 tut 297 0%
#5585 build2 297 0%
#5586 gitless 297 0%
#5587 git-sync 296 0%
#5588 cadence 296 0%
#5589 action-validator 296 0%
#5590 rip2 295 0%
#5591 tach 295 0%
#5592 kahip 295 0%
#5593 arttime 295 0%
#5594 static-web-server/static-web-server/static-web-server-bin 295 0%
#5595 clipper 295 0%
#5596 grok 295 0%
#5597 shivammathur/php/php@8.5-debug 295 0%
#5598 math-comp 294 0%
#5599 pgcopydb 294 0%
#5600 unisonlang 294 0%
#5601 libchewing 294 0%
#5602 terrahub 294 0%
#5603 clowdhaus/taps/eksup 294 0%
#5604 abricate 294 0%
#5605 valet-sh/core/vsh-php73 294 0%
#5606 bk 294 0%
#5607 envelope 294 0%
#5608 uftp 294 0%
#5609 libtomcrypt 294 0%
#5610 nali 294 0%
#5611 shivammathur/php/php@8.5-debug-zts 293 0%
#5612 wthrr 293 0%
#5613 cobo-cli 293 0%
#5614 lucaspickering/tap/slumber 293 0%
#5615 cucumber-ruby 293 0%
#5616 uni2ascii 292 0%
#5617 thecasualcoder/stable/tf-summarize 292 0%
#5618 weaviate 292 0%
#5619 cfv 292 0%
#5620 valet-sh/core/vsh-php82 292 0%
#5621 chase/tap/awrit 292 0%
#5622 mtoc 292 0%
#5623 dante 291 0%
#5624 srgn 291 0%
#5625 asak 291 0%
#5626 s2geometry 291 0%
#5627 tssh 291 0%
#5628 charmbracelet/tap/skate 291 0%
#5629 zahid-iqbal-alpha/tap/grapple-cli 291 0%
#5630 zshdb 291 0%
#5631 tart 290 0%
#5632 gimmecert 290 0%
#5633 glaze 290 0%
#5634 burst 290 0%
#5635 djlint 289 0%
#5636 kube-score/tap/kube-score 289 0%
#5637 quickjs 289 0%
#5638 cargo-binutils 289 0%
#5639 laszip 289 0%
#5640 deus0ww/tap/ffmpeg 289 0%
#5641 jira-cli 289 0%
#5642 cproto 289 0%
#5643 xidel 289 0%
#5644 seven-kingdoms 289 0%
#5645 inih 289 0%
#5646 ansilove 289 0%
#5647 gsoap 289 0%
#5648 amazon-ion/ion-cli/ion-cli 289 0%
#5649 cahute 288 0%
#5650 sloth 288 0%
#5651 osrf/simulation/ignition-physics2 288 0%
#5652 hg-fast-export 288 0%
#5653 dvm 288 0%
#5654 grafana/grafana/grafana-agent-flow 288 0%
#5655 pyenv-pip-migrate 288 0%
#5656 aws-c-s3 288 0%
#5657 wasm-micro-runtime 288 0%
#5658 typesense/tap/typesense-server@26.0 288 0%
#5659 nmail 287 0%
#5660 koka 287 0%
#5661 cartr/qt4/qt@4 287 0%
#5662 couchbase-shell 287 0%
#5663 gismo 287 0%
#5664 fortran-language-server 287 0%
#5665 taskd 287 0%
#5666 fastmod 286 0%
#5667 aerleon 286 0%
#5668 enet 286 0%
#5669 colortail 286 0%
#5670 releasehub-com/tap/release-cli 286 0%
#5671 mapcrafter 286 0%
#5672 check_postgres 286 0%
#5673 ghostunnel 286 0%
#5674 tako8ki/tap/gobang 286 0%
#5675 e2tools 285 0%
#5676 service-weaver 285 0%
#5677 scooter 285 0%
#5678 serf 285 0%
#5679 shivammathur/extensions/redis@8.4 285 0%
#5680 dart-lang/dart/dart@3.3.1 285 0%
#5681 ministryofjustice/cloud-platform-tap/cloud-platform-cli 285 0%
#5682 ebook-tools 285 0%
#5683 octobuild 285 0%
#5684 cweb 285 0%
#5685 cloudquery 284 0%
#5686 cppcms 284 0%
#5687 dug 284 0%
#5688 cargo-depgraph 284 0%
#5689 buildapp 284 0%
#5690 macosvpn 284 0%
#5691 chisel-tunnel 284 0%
#5692 seal 284 0%
#5693 libspelling@0.2 284 0%
#5694 asnmap 284 0%
#5695 turbot/tap/flowpipe 284 0%
#5696 fcp 284 0%
#5697 range-v3 284 0%
#5698 lusingander/tap/stu 283 0%
#5699 jaxxstorm/tap/aws-sso-creds 283 0%
#5700 ares 283 0%
#5701 hashicorp/tap/tfstacks 283 0%
#5702 fuego 283 0%
#5703 tinc 282 0%
#5704 leoafarias/fvm/fvm@3.0.3 282 0%
#5705 afsctool 282 0%
#5706 rogue 282 0%
#5707 croaring 282 0%
#5708 bagit 282 0%
#5709 cglm 282 0%
#5710 wush 282 0%
#5711 slirp4netns 282 0%
#5712 redis-leveldb 282 0%
#5713 dnsgen 281 0%
#5714 libtommath 281 0%
#5715 neocmakelsp 281 0%
#5716 abhinav/tap/git-spice 281 0%
#5717 libwps 281 0%
#5718 ubertooth 281 0%
#5719 chmlib 280 0%
#5720 as-tree 280 0%
#5721 euler-py 280 0%
#5722 rbenv-default-gems 280 0%
#5723 grokmirror 280 0%
#5724 facebook/fb/buck 280 0%
#5725 conmon 280 0%
#5726 exiftran 280 0%
#5727 daemontools 280 0%
#5728 restatedev/tap/restate-server 280 0%
#5729 d235j/ios-restore-tools/idevicerestore 280 0%
#5730 fizmo 280 0%
#5731 cpl 279 0%
#5732 qjson 279 0%
#5733 urdfdom 279 0%
#5734 jabley/wrk2/wrk2 279 0%
#5735 ufbt 279 0%
#5736 fwknop 279 0%
#5737 ripsecrets 279 0%
#5738 diffr 279 0%
#5739 restatedev/tap/restate 278 0%
#5740 grin 278 0%
#5741 energy 278 0%
#5742 ktoblzcheck 278 0%
#5743 abhimanyu003/sttr/sttr 278 0%
#5744 gittuf 278 0%
#5745 sslh 277 0%
#5746 userspace-rcu 277 0%
#5747 valet-sh/core/vsh-mariadb106 277 0%
#5748 rails-completion 277 0%
#5749 yurijmikhalevich/tap/rclip 277 0%
#5750 ory/tap/kratos 276 0%
#5751 omrikiei/ktunnel/ktunnel 276 0%
#5752 smudge/smudge/nightlight 276 0%
#5753 descope 276 0%
#5754 viamrobotics/brews/nlopt-static 275 0%
#5755 nativeos/i386-elf-toolchain/i386-elf-binutils 275 0%
#5756 cdncheck 275 0%
#5757 camlp-streams 275 0%
#5758 aespipe 274 0%
#5759 jello 274 0%
#5760 percol 274 0%
#5761 freshworks-developers/tap/fdk 274 0%
#5762 tantalor93/dnspyre/dnspyre 274 0%
#5763 k1low/tap/octocov 273 0%
#5764 sql-migrate 273 0%
#5765 libwebm 273 0%
#5766 dart-lang/dart/dart@3.5.2 273 0%
#5767 millet 273 0%
#5768 geomview 273 0%
#5769 rsyncy 272 0%
#5770 angband 272 0%
#5771 cloudiscovery 272 0%
#5772 libprelude 272 0%
#5773 libwpg 272 0%
#5774 csprecon 272 0%
#5775 librespeed/tap/librespeed-cli 272 0%
#5776 bumpversion 271 0%
#5777 dhall-lsp-server 271 0%
#5778 vvenc 271 0%
#5779 beagle 271 0%
#5780 icoutils 271 0%
#5781 dssim 271 0%
#5782 clean 271 0%
#5783 quartz-wm 271 0%
#5784 wimdeblauwe/ttcli/ttcli 270 0%
#5785 luckyframework/lucky/lucky 270 0%
#5786 pike 270 0%
#5787 dsq 270 0%
#5788 game-music-emu 270 0%
#5789 shivammathur/extensions/imagick@8.1 270 0%
#5790 nemu 269 0%
#5791 ezstream 269 0%
#5792 c-kermit 269 0%
#5793 rojo 269 0%
#5794 oggz 269 0%
#5795 kim-api 269 0%
#5796 pyoxidizer 268 0%
#5797 pg_top 268 0%
#5798 raxml-ng 268 0%
#5799 acme 268 0%
#5800 forcecli 268 0%
#5801 sratom 268 0%
#5802 ggerganov/ggerganov/llama.cpp 268 0%
#5803 dutree 268 0%
#5804 malcontent 268 0%
#5805 calceph 268 0%
#5806 socsieng/tap/sendkeys 268 0%
#5807 ecs-deploy 267 0%
#5808 agrinman/tap/tunnelto 267 0%
#5809 bkcrack 267 0%
#5810 osctrl-cli 267 0%
#5811 botan@2 267 0%
#5812 wsk 267 0%
#5813 pokerstove 267 0%
#5814 uselagoon/lagoon-cli/lagoon 267 0%
#5815 emojify 267 0%
#5816 rpki-client 266 0%
#5817 epic5 266 0%
#5818 ymtdzzz/tap/otel-tui 266 0%
#5819 hz 266 0%
#5820 libcoap 266 0%
#5821 dnstracer 266 0%
#5822 nat-n/poethepoet/poethepoet 265 0%
#5823 mg 265 0%
#5824 smap 265 0%
#5825 libsvg 265 0%
#5826 dwarf 265 0%
#5827 ent 265 0%
#5828 daviderestivo/emacs-head/emacs-head@30 265 0%
#5829 snow 265 0%
#5830 vladimirvivien/oss-tools/ktop 265 0%
#5831 mecab-ko-dic 265 0%
#5832 shivammathur/php/php@8.2-zts 265 0%
#5833 plenv 265 0%
#5834 movgrab 265 0%
#5835 gdbgui 265 0%
#5836 amiaopensource/amiaos/vrecord 265 0%
#5837 hut 264 0%
#5838 skeema/tap/skeema 264 0%
#5839 squiid 264 0%
#5840 shivammathur/extensions/apcu@8.2 264 0%
#5841 dynaconf 264 0%
#5842 pulumi/tap/crd2pulumi 263 0%
#5843 beautysh 263 0%
#5844 libretls 263 0%
#5845 polyfy/polylith/poly 263 0%
#5846 benbjohnson/litestream/litestream 263 0%
#5847 aws-crt-cpp 263 0%
#5848 sportngin/homebrew/mysql-client 263 0%
#5849 cxxtest 262 0%
#5850 ansible-builder 262 0%
#5851 bramstein/webfonttools/sfnt2woff 262 0%
#5852 ucspi-tcp 262 0%
#5853 reflex 262 0%
#5854 argtable 262 0%
#5855 wv2 262 0%
#5856 danielgatis/imgcat/imgcat 262 0%
#5857 dynein 262 0%
#5858 vis 262 0%
#5859 dart-lang/dart/dart@3.3.4 261 0%
#5860 gap-system/gap/gap 261 0%
#5861 rust-parallel 261 0%
#5862 brewsci/bio/salmon 261 0%
#5863 goolabs 261 0%
#5864 regipy 261 0%
#5865 v 261 0%
#5866 spdx-sbom-generator 261 0%
#5867 victorialogs 261 0%
#5868 groestlcoin 260 0%
#5869 patat 260 0%
#5870 brook 260 0%
#5871 fortran-lang/fortran/fpm 260 0%
#5872 gocr 260 0%
#5873 artginzburg/tap/sudo-touchid 260 0%
#5874 ttdl 260 0%
#5875 jmespath/jmespath/jp 260 0%
#5876 geographiclib 260 0%
#5877 shivammathur/extensions/imap@8.1 260 0%
#5878 flyscrape 260 0%
#5879 bitchx 259 0%
#5880 slp/krunkit/krunkit 259 0%
#5881 latex2rtf 259 0%
#5882 fades 259 0%
#5883 overmindtech/overmind/overmind-cli 259 0%
#5884 daktilo 259 0%
#5885 xlsxio 259 0%
#5886 serpl 259 0%
#5887 dtrx 259 0%
#5888 yanjiew1/gtkwave/gtkwave 259 0%
#5889 eureka 259 0%
#5890 flipt-io/brew/flipt 258 0%
#5891 terraform-lsp 258 0%
#5892 ppl 258 0%
#5893 algernon 258 0%
#5894 gbevin/tools/sendmidi 258 0%
#5895 libxdamage 258 0%
#5896 swftools 258 0%
#5897 gti 258 0%
#5898 messense/macos-cross-toolchains/aarch64-unknown-linux-musl 258 0%
#5899 oils-for-unix 257 0%
#5900 dart-lang/dart/dart@3.3.0 257 0%
#5901 axodotdev/tap/cargo-dist 257 0%
#5902 rustcat 257 0%
#5903 hk 257 0%
#5904 cloudprober 257 0%
#5905 iterate-ch/cyberduck/duck 257 0%
#5906 endorlabs/tap/endorctl 257 0%
#5907 libxml++@3 257 0%
#5908 biodiff 257 0%
#5909 vint 256 0%
#5910 atop 256 0%
#5911 pdftilecut 256 0%
#5912 open-image-denoise 256 0%
#5913 tf-summarize 256 0%
#5914 iocextract 256 0%
#5915 stripe-mock 256 0%
#5916 rtaudio 256 0%
#5917 skylighting 256 0%
#5918 astroterm 255 0%
#5919 dumpling 255 0%
#5920 jr 255 0%
#5921 jellyfish 255 0%
#5922 unshield 255 0%
#5923 mecab-ko 255 0%
#5924 lorem 255 0%
#5925 shivammathur/php/php@7.4-zts 255 0%
#5926 stella 255 0%
#5927 libagg 255 0%
#5928 files-com/tap/files-cli 255 0%
#5929 vamp-plugin-sdk 255 0%
#5930 libxml++@4 255 0%
#5931 telia-oss/tap/cloud-connect 254 0%
#5932 git-trim 254 0%
#5933 tuist/tuist/tuist@3.42.2 254 0%
#5934 cxgo 254 0%
#5935 cargo-sweep 254 0%
#5936 wally 254 0%
#5937 haiti 254 0%
#5938 tern 254 0%
#5939 ircii 254 0%
#5940 sdl3_image 254 0%
#5941 g2o 254 0%
#5942 brewsci/bio/matplotlib 254 0%
#5943 cereal 254 0%
#5944 infisical 254 0%
#5945 humanlog 254 0%
#5946 twtxt 253 0%
#5947 manojkarthick/tap/pqrs 253 0%
#5948 mopidy/mopidy/mopidy 253 0%
#5949 dcfldd 253 0%
#5950 liboqs 253 0%
#5951 valet-sh/core/vsh-php83 253 0%
#5952 sjbonner/tap/mark-on-mac 253 0%
#5953 fast_float 253 0%
#5954 stubby 253 0%
#5955 gickup 253 0%
#5956 c4core 253 0%
#5957 gobackup 252 0%
#5958 noborus/tap/trdsql 252 0%
#5959 libgit2@1.8 252 0%
#5960 pari-seadata 252 0%
#5961 cloudentity/tap/oauth2c 251 0%
#5962 tarsnap-gui 251 0%
#5963 objconv 251 0%
#5964 llnode 251 0%
#5965 task@2 251 0%
#5966 yurikoles/yurikoles/far2l 251 0%
#5967 djbdns 251 0%
#5968 tuist/tuist/tuist@4.15.0 251 0%
#5969 knative-sandbox/kn-plugins/quickstart 251 0%
#5970 mp3gain 250 0%
#5971 open62541 250 0%
#5972 thecasualcoder/stable/csvdiff 250 0%
#5973 sendme 250 0%
#5974 envd 250 0%
#5975 viamrobotics/brews/viam-server 250 0%
#5976 blis 250 0%
#5977 confuse 250 0%
#5978 caire 250 0%
#5979 php@7.4 250 0%
#5980 jsrepo 249 0%
#5981 icann-rdap 249 0%
#5982 ne 249 0%
#5983 binsider 249 0%
#5984 nbsdgames 249 0%
#5985 graelo/tap/pumas 249 0%
#5986 hebcal 249 0%
#5987 killswitch 249 0%
#5988 libdvdnav 249 0%
#5989 terraform-inventory 249 0%
#5990 opensaml 249 0%
#5991 git-plus 249 0%
#5992 skate 249 0%
#5993 oxen-ai/oxen/oxen 248 0%
#5994 rmtrash 248 0%
#5995 tofuutils/tap/tenv 248 0%
#5996 grepcidr 248 0%
#5997 datastax/astra-cli/astra-cli 248 0%
#5998 ipget 248 0%
#5999 gjbae1212/gossm/gossm 247 0%
#6000 gotify 247 0%
#6001 menhir 247 0%
#6002 youtubeuploader 247 0%
#6003 gowsdl 247 0%
#6004 spidermonkey@115 247 0%
#6005 shub 247 0%
#6006 parliament 247 0%
#6007 blocky 247 0%
#6008 rsnapshot 246 0%
#6009 mergiraf 246 0%
#6010 ronn-ng 246 0%
#6011 crc32c 246 0%
#6012 peru 246 0%
#6013 lucky-commit 245 0%
#6014 gateway-go 245 0%
#6015 glibc@2.13 245 0%
#6016 cockroachdb/tap/ccloud 245 0%
#6017 gfxutil 245 0%
#6018 wasm3 245 0%
#6019 scalingo 245 0%
#6020 bwidget 245 0%
#6021 bingrep 245 0%
#6022 mp3info 245 0%
#6023 sshx 245 0%
#6024 vue-language-server 245 0%
#6025 ehco 245 0%
#6026 xwmx/taps/airport 244 0%
#6027 zlint 244 0%
#6028 libkml 244 0%
#6029 opentap 244 0%
#6030 launch 244 0%
#6031 nrm 244 0%
#6032 osrf/simulation/tbb@2020_u3 244 0%
#6033 typewritten 244 0%
#6034 typstfmt 244 0%
#6035 tty-share 244 0%
#6036 rospo 243 0%
#6037 atari800 243 0%
#6038 px4/px4/px4-sim-jmavsim 243 0%
#6039 sdlpop 243 0%
#6040 potatolabs/git-redate/git-redate 243 0%
#6041 cucumber-cpp 243 0%
#6042 shivammathur/extensions/apcu@8.1 243 0%
#6043 shivammathur/extensions/xdebug@7.4 243 0%
#6044 brewsci/bio/kraken2 243 0%
#6045 modsecurity 243 0%
#6046 tygo 243 0%
#6047 brewsci/science/openni2 242 0%
#6048 jamf/internal-tap/hermes 242 0%
#6049 eso/pipelines/esoreflex 242 0%
#6050 miloas/zig-nightly/zig 242 0%
#6051 endava/tap/cats 242 0%
#6052 wownero 242 0%
#6053 gptscript-ai/tap/clio 242 0%
#6054 jnsahaj/lumen/lumen 241 0%
#6055 abook 241 0%
#6056 pyspelling 241 0%
#6057 bash_unit 241 0%
#6058 brainfuck 241 0%
#6059 rockcarver/frodo-cli/frodo-cli-next 241 0%
#6060 immudb 241 0%
#6061 cfengine 241 0%
#6062 yakitrak/yakitrak/obsidian-cli 241 0%
#6063 typespeed 241 0%
#6064 guile-gnutls 240 0%
#6065 xml-tooling-c 240 0%
#6066 common-fate/tap/cf 240 0%
#6067 gplugin 240 0%
#6068 libgrape-lite 240 0%
#6069 stm32flash 240 0%
#6070 glider 240 0%
#6071 brewsci/bio/iqtree 239 0%
#6072 grin-wallet 239 0%
#6073 snakefmt 239 0%
#6074 rockcarver/frodo-cli/frodo-cli 239 0%
#6075 luaradio 239 0%
#6076 johnnydep 239 0%
#6077 libmxml 239 0%
#6078 nap 239 0%
#6079 shpotify 239 0%
#6080 mask 239 0%
#6081 river 239 0%
#6082 davidalger/warden/warden 238 0%
#6083 bareos-client 238 0%
#6084 macaulay2/tap/normaliz 238 0%
#6085 crunchydata/brew/cb 238 0%
#6086 busser/tap/tfautomv 238 0%
#6087 mpop 238 0%
#6088 libodfgen 238 0%
#6089 pdfly 238 0%
#6090 templ 238 0%
#6091 plog 238 0%
#6092 mubeng 238 0%
#6093 timescale/tap/timescaledb-toolkit 238 0%
#6094 osx-cross/avr/avr-gdb 238 0%
#6095 scmpuff 238 0%
#6096 bloznelis/tap/typioca 237 0%
#6097 github-keygen 237 0%
#6098 mandoc 237 0%
#6099 dhcpdump 237 0%
#6100 ledit 237 0%
#6101 yext/tap/yext 237 0%
#6102 nsd 236 0%
#6103 inotify-tools 236 0%
#6104 apachetop 236 0%
#6105 salilab/salilab/imp 236 0%
#6106 ejoffe/tap/spr 236 0%
#6107 amiaopensource/amiaos/decklinksdk 236 0%
#6108 ipinfo 236 0%
#6109 openiothub-server 236 0%
#6110 uncover 236 0%
#6111 cargo-fuzz 236 0%
#6112 qd 236 0%
#6113 snowflake-cli 236 0%
#6114 hpack 236 0%
#6115 dwm 236 0%
#6116 sidneys/homebrew/unrar 235 0%
#6117 djhtml 235 0%
#6118 gops 235 0%
#6119 formancehq/tap/fctl 235 0%
#6120 tektoncd/tools/tektoncd-cli 235 0%
#6121 ohueter/tap/autokbisw 235 0%
#6122 iputils 235 0%
#6123 cpptest 235 0%
#6124 cassowary 235 0%
#6125 libpgm 235 0%
#6126 ansible-cmdb 235 0%
#6127 flactag 235 0%
#6128 bartib 235 0%
#6129 romkatv/gitstatus/gitstatus 234 0%
#6130 theurichde/go-aws-sso/go-aws-sso 234 0%
#6131 hivex 234 0%
#6132 lsyncd 234 0%
#6133 luvit 234 0%
#6134 chaoskube 234 0%
#6135 dream11/tools/odin 234 0%
#6136 periscope 233 0%
#6137 libbdplus 233 0%
#6138 yank 233 0%
#6139 typesense/tap/typesense-server@27.1 233 0%
#6140 pari-galpol 233 0%
#6141 firefly 232 0%
#6142 itpp 232 0%
#6143 wallpaper 232 0%
#6144 vmware-tanzu/tanzu/tanzu-cli-unstable 232 0%
#6145 leaf-proxy 232 0%
#6146 sord 232 0%
#6147 perl-build 232 0%
#6148 ly 232 0%
#6149 nuspell 232 0%
#6150 witness 232 0%
#6151 mediaconch 232 0%
#6152 pgrok 232 0%
#6153 songmu/tap/gocredits 232 0%
#6154 gocryptfs 232 0%
#6155 pscale 232 0%
#6156 todoman 231 0%
#6157 navercloudplatform/tap/ncp-iam-authenticator 231 0%
#6158 mm-common 231 0%
#6159 dbt-labs/dbt/dbt-snowflake 231 0%
#6160 timac/vpnstatus/vpnutil 231 0%
#6161 docuum 231 0%
#6162 salt-lint 231 0%
#6163 go@1.18 231 0%
#6164 yash 231 0%
#6165 vrc-get 230 0%
#6166 gerbil-scheme 230 0%
#6167 libbsd 230 0%
#6168 git-imerge 230 0%
#6169 trojan-go 230 0%
#6170 brewsci/bio/apbs 230 0%
#6171 kabel/php-ext/php@8.1-imap 230 0%
#6172 tophat/bar/yvm 230 0%
#6173 lizard 230 0%
#6174 bit-git 229 0%
#6175 gnustep-make 229 0%
#6176 webhook 229 0%
#6177 uffizzi 229 0%
#6178 reuse 229 0%
#6179 sqlboiler 229 0%
#6180 cascadia 229 0%
#6181 defenseunicorns/tap/uds@0.18.0 229 0%
#6182 git-revise 229 0%
#6183 bashunit 228 0%
#6184 github-markdown-toc 228 0%
#6185 wails 228 0%
#6186 ktor 228 0%
#6187 surelog 228 0%
#6188 puppetlabs/puppet/ruby@2.7 228 0%
#6189 doc8 228 0%
#6190 fasttext 228 0%
#6191 avisi-cloud/tools/structurizr-site-generatr 228 0%
#6192 pari-elldata 228 0%
#6193 omissis/go-jsonschema/go-jsonschema 228 0%
#6194 mapcidr 227 0%
#6195 ctrlspice/otel-desktop-viewer/otel-desktop-viewer 227 0%
#6196 touca 227 0%
#6197 pastebinit 227 0%
#6198 rcs 227 0%
#6199 gotags 227 0%
#6200 openapi-diff 227 0%
#6201 gopeed 226 0%
#6202 cyberark/tools/summon 226 0%
#6203 laishulu/homebrew/macism 226 0%
#6204 wp-cli-completion 226 0%
#6205 shivammathur/extensions/redis@8.0 226 0%
#6206 lm-sensors 226 0%
#6207 bazel@7 226 0%
#6208 pb33f/taps/openapi-changes 225 0%
#6209 charm 225 0%
#6210 libdc1394 225 0%
#6211 basespace/basespace/bs-cli 225 0%
#6212 taylormonacelli/tools/howbob 225 0%
#6213 squealer 225 0%
#6214 dvdbackup 225 0%
#6215 dura 225 0%
#6216 libfabric 224 0%
#6217 zix 224 0%
#6218 konstructio/taps/kubefirst 224 0%
#6219 cargo-docset 224 0%
#6220 spr 224 0%
#6221 cjdns 224 0%
#6222 writerperfect 224 0%
#6223 libucl 224 0%
#6224 acl 224 0%
#6225 hashicorp/tap/nomad-pack 224 0%
#6226 vexctl 223 0%
#6227 nobl9/sloctl/sloctl 223 0%
#6228 rbenv-binstubs 223 0%
#6229 yaegi 223 0%
#6230 cabocha 223 0%
#6231 coal 223 0%
#6232 zssh 223 0%
#6233 pict 223 0%
#6234 aspect-build/aspect/aspect 223 0%
#6235 brewsci/bio/muscle 223 0%
#6236 mkhexgrid 223 0%
#6237 thors-serializer 223 0%
#6238 odigos-io/odigos-cli/odigos 223 0%
#6239 duckscript 223 0%
#6240 git-grab 223 0%
#6241 scilla 222 0%
#6242 weaviate-cli 222 0%
#6243 shivammathur/extensions/yaml@8.3 222 0%
#6244 shivammathur/extensions/imagick@8.4 222 0%
#6245 steamguard-cli 222 0%
#6246 rbenv-bundler-ruby-version 222 0%
#6247 hashicorp/tap/vlt 222 0%
#6248 pb33f/taps/wiretap 221 0%
#6249 proper 221 0%
#6250 xtensor 221 0%
#6251 mstch 221 0%
#6252 mrtg 221 0%
#6253 libxcomposite 221 0%
#6254 ramiawar/dataline/dataline 221 0%
#6255 cuba 221 0%
#6256 devops-kung-fu/tap/bomber 221 0%
#6257 cmdshelf 221 0%
#6258 semaphoreci/tap/sem 221 0%
#6259 brewsci/bio/trimmomatic 221 0%
#6260 deheader 221 0%
#6261 topfew 220 0%
#6262 m1ddc 220 0%
#6263 ultralist 220 0%
#6264 spotify/public/scio 220 0%
#6265 readpe 220 0%
#6266 windmilleng/tap/tilt 220 0%
#6267 mypurecloud/gc/gc 220 0%
#6268 jsbeautifier 220 0%
#6269 hashcash 220 0%
#6270 hcavarsan/kftray/kftray 220 0%
#6271 renameutils 220 0%
#6272 mutagen-io/mutagen/mutagen-beta 219 0%
#6273 joker 219 0%
#6274 ory-hydra 219 0%
#6275 pla 219 0%
#6276 fairwindsops/tap/rbac-lookup 219 0%
#6277 direwolf 219 0%
#6278 gputils 219 0%
#6279 spot 219 0%
#6280 limbo 219 0%
#6281 defenseunicorns/tap/uds@0.23.0 219 0%
#6282 tabulate 218 0%
#6283 leetup 218 0%
#6284 arss 218 0%
#6285 goproxy 218 0%
#6286 mmark 218 0%
#6287 shallow-backup 217 0%
#6288 physfs 217 0%
#6289 tkey-ssh-agent 217 0%
#6290 exim 217 0%
#6291 valet-sh/core/vsh-elasticsearch7 217 0%
#6292 nesc 217 0%
#6293 influxdata/tap/influxctl 217 0%
#6294 redo 217 0%
#6295 rbenv-aliases 217 0%
#6296 mondoohq/mondoo/cnquery 217 0%
#6297 dstask 217 0%
#6298 fancy-cat 217 0%
#6299 shivammathur/extensions/memcached@8.3 217 0%
#6300 candid82/brew/joker 217 0%
#6301 dart-lang/dart/dart@2.19 217 0%
#6302 ronn 217 0%
#6303 scriptisto 217 0%
#6304 symlinks 217 0%
#6305 libcerf 217 0%
#6306 fileql 217 0%
#6307 hickory-dns 216 0%
#6308 b2sum 216 0%
#6309 opalsecurity/brew/opal-security 216 0%
#6310 julien040/anyquery/anyquery 216 0%
#6311 apache-flink-cdc 216 0%
#6312 shivammathur/extensions/imap@7.4 216 0%
#6313 dashing 216 0%
#6314 mdz 216 0%
#6315 brewsci/bio/circos 215 0%
#6316 wgo 215 0%
#6317 ethereum/ethereum/ethereum 215 0%
#6318 pie 215 0%
#6319 rbenv-ctags 215 0%
#6320 zork 215 0%
#6321 dirt 215 0%
#6322 sdl_gfx 215 0%
#6323 regina-rexx 215 0%
#6324 pyflow 215 0%
#6325 apache-archiva 215 0%
#6326 moon-buggy 215 0%
#6327 bombadillo 215 0%
#6328 superbrothers/zsh-kubectl-prompt/zsh-kubectl-prompt 214 0%
#6329 gigalixir/brew/gigalixir 214 0%
#6330 fastbit 214 0%
#6331 quackduck/tap/uniclip 214 0%
#6332 halp 213 0%
#6333 vsh 213 0%
#6334 forego 213 0%
#6335 defenseunicorns/tap/uds@0.5.3 213 0%
#6336 goresym 213 0%
#6337 envconsul 213 0%
#6338 jxl-oxide 213 0%
#6339 rosco-m68k/toolchain/rosco-m68k-toolchain@13 213 0%
#6340 act3-ai/tap/ace-dt 212 0%
#6341 cargo-update 212 0%
#6342 mfcuk 212 0%
#6343 rfidresearchgroup/proxmark3/arm-none-eabi-gcc 212 0%
#6344 libetonyek 212 0%
#6345 kube-bench 212 0%
#6346 pari-galdata 212 0%
#6347 nfdump 212 0%
#6348 artifacthub/cmd/ah 212 0%
#6349 brewsci/bio/star-aligner 212 0%
#6350 sachaos/todoist/todoist 212 0%
#6351 libjuice 212 0%
#6352 backbase/m/variants 211 0%
#6353 progressline 211 0%
#6354 ain 211 0%
#6355 conductorone/cone/cone 211 0%
#6356 libxls 211 0%
#6357 serie 211 0%
#6358 git-archive-all 211 0%
#6359 textualize/homebrew/frogmouth 210 0%
#6360 spectra 210 0%
#6361 carlsberg/tap/git-semver 210 0%
#6362 dns2tcp 210 0%
#6363 vimtutor-sequel 210 0%
#6364 webarchiver 210 0%
#6365 pls-rs/pls/pls 210 0%
#6366 digital-chemistry-laboratory/multiwfn/multiwfn 210 0%
#6367 numcpp 210 0%
#6368 kraftkit 209 0%
#6369 three-body 209 0%
#6370 wasi-libc 209 0%
#6371 gffread 209 0%
#6372 the-openroad-project/lemon-graph/lemon-graph 209 0%
#6373 cmacrae/formulae/spacebar 209 0%
#6374 a52dec 209 0%
#6375 scnlib 209 0%
#6376 aws-c-common 209 0%
#6377 brewsci/bio/cutadapt 209 0%
#6378 dhcping 209 0%
#6379 pget 209 0%
#6380 libomemo-c 208 0%
#6381 gobuffalo/tap/buffalo 208 0%
#6382 sslsplit 208 0%
#6383 healpix 208 0%
#6384 wordgrinder 208 0%
#6385 brewsci/bio/emboss 208 0%
#6386 typos-lsp 208 0%
#6387 mentimeter/mentimeter/linkup 208 0%
#6388 skyscanner/tools/turbolift 208 0%
#6389 git-codereview 208 0%
#6390 crowdstrike/foundry-cli/foundry 208 0%
#6391 ozeias/postgresql/postgis@15 208 0%
#6392 vgrep 207 0%
#6393 libpano 207 0%
#6394 maaassistantarknights/tap/maa-core-beta 207 0%
#6395 extract_url 207 0%
#6396 sniffer 207 0%
#6397 fastjar 207 0%
#6398 dart-lang/dart/dart@3.3.3 207 0%
#6399 toitlang/toit/jaguar 207 0%
#6400 confd 207 0%
#6401 icecream 207 0%
#6402 kalign 206 0%
#6403 px4/px4/gcc-arm-none-eabi 206 0%
#6404 coccinelle 206 0%
#6405 bomber 206 0%
#6406 twoping 206 0%
#6407 osmfilter 206 0%
#6408 montage 206 0%
#6409 principalmapper 206 0%
#6410 curlpp 206 0%
#6411 zigup 206 0%
#6412 alass 206 0%
#6413 raptor 206 0%
#6414 gabo 206 0%
#6415 fatsort 206 0%
#6416 minuit2 206 0%
#6417 pipet 205 0%
#6418 rig 205 0%
#6419 fff 205 0%
#6420 vals 205 0%
#6421 ndenv 205 0%
#6422 trailscraper 205 0%
#6423 syntaxerl 205 0%
#6424 basis_universal 205 0%
#6425 fastd 205 0%
#6426 git-standup 205 0%
#6427 kabel/php-ext/php@8.2-imap 205 0%
#6428 exult 205 0%
#6429 alure 205 0%
#6430 teensy_loader_cli 205 0%
#6431 adobe-marketing-cloud/brews/repo 205 0%
#6432 beakerlib 204 0%
#6433 gomi 204 0%
#6434 kubeshark/kubeshark/kubeshark 204 0%
#6435 sindrel/tap/excalidraw-converter 204 0%
#6436 xpipe 204 0%
#6437 cargo-expand 204 0%
#6438 dvdauthor 204 0%
#6439 wllvm 204 0%
#6440 lean-cli 204 0%
#6441 isa-l 204 0%
#6442 scheme48 204 0%
#6443 siguremo/tap/yutto 204 0%
#6444 regal 204 0%
#6445 brewsci/bio/gatk 204 0%
#6446 aicommit 203 0%
#6447 pumba 203 0%
#6448 getdns 203 0%
#6449 snowflake-labs/snowcli/snowcli 203 0%
#6450 bwm-ng 203 0%
#6451 libcue 203 0%
#6452 tin 203 0%
#6453 cyclonedx/cyclonedx/cyclonedx-gomod 203 0%
#6454 cdr/coder/coder@1 203 0%
#6455 blades 203 0%
#6456 clive 202 0%
#6457 tarasmazepa/stax/stax 202 0%
#6458 cirruslabs/cli/gitlab-tart-executor 202 0%
#6459 duplocloud/tap/duplo-jit 202 0%
#6460 rds-command-line-tools 201 0%
#6461 vectorscan 201 0%
#6462 riscv-software-src/riscv/riscv-openocd 201 0%
#6463 rake-completion 201 0%
#6464 zilliztech/tap/milvus-backup 201 0%
#6465 procps 201 0%
#6466 i-am-bee/beeai/beeai 201 0%
#6467 piknik 201 0%
#6468 sonic 201 0%
#6469 symfony-cli 201 0%
#6470 beeftornado/rmtree/brew-rmtree 201 0%
#6471 sntop 201 0%
#6472 pdf2json 200 0%
#6473 freediameter 200 0%
#6474 git-recent 200 0%
#6475 manticoresoftware/tap/manticoresearch 200 0%
#6476 protoc-gen-grpc-swift 200 0%
#6477 decasify 200 0%
#6478 xcdiff 199 0%
#6479 libxkbfile 199 0%
#6480 gitpod-io/tap/gitpod 199 0%
#6481 octosql 199 0%
#6482 soapyremote 199 0%
#6483 micahkepe/vimtutor-sequel/vimtutor-sequel 199 0%
#6484 gollum 199 0%
#6485 dvdrtools 199 0%
#6486 tproxy 199 0%
#6487 kamal-proxy 199 0%
#6488 cadical 199 0%
#6489 contrastsecurity/tap/contrast 198 0%
#6490 magalucloud/mgc/mgccli 198 0%
#6491 normen/tap/whatscli 198 0%
#6492 feishu2md 198 0%
#6493 jailkit 198 0%
#6494 cppad 198 0%
#6495 quaric/zadark/zadark 198 0%
#6496 brimdata/tap/zed 198 0%
#6497 libfuse 198 0%
#6498 sugarjar 198 0%
#6499 obsproject/tools/clang-format@13 198 0%
#6500 pex 198 0%
#6501 favirecon 197 0%
#6502 tfproviderlint 197 0%
#6503 schema-evolution-manager 197 0%
#6504 nvie/tap/git-toolbelt 197 0%
#6505 redmadrobot/formulae/figma-export 197 0%
#6506 gbevin/tools/receivemidi 197 0%
#6507 s-nail 196 0%
#6508 fsevents-tools 196 0%
#6509 scour 196 0%
#6510 cmigemo 196 0%
#6511 kazuakiyama/pgplot/pgplot 196 0%
#6512 hr 196 0%
#6513 kconf 196 0%
#6514 libmpeg2 196 0%
#6515 ory/tap/hydra 196 0%
#6516 vermin 195 0%
#6517 bazel-remote 195 0%
#6518 slackcat 195 0%
#6519 triangle 195 0%
#6520 rst-lint 195 0%
#6521 flavours 195 0%
#6522 safe-rm 195 0%
#6523 svgbob 195 0%
#6524 ramalama 194 0%
#6525 gnu-chess 194 0%
#6526 bupstash 194 0%
#6527 mp3val 194 0%
#6528 powerman-dockerize 194 0%
#6529 ld-find-code-refs 194 0%
#6530 martenframework/marten/marten 194 0%
#6531 toipe 194 0%
#6532 brewsci/bio/vcflib 194 0%
#6533 amar1729/formulae/browserpass 194 0%
#6534 geogram 194 0%
#6535 clickhouse-sql-parser 194 0%
#6536 git-when-merged 193 0%
#6537 aws-c-auth 193 0%
#6538 risor 193 0%
#6539 milend/taps/hmap 193 0%
#6540 gcenx/apple/game-porting-toolkit 193 0%
#6541 sailpoint-oss/tap/sailpoint-cli 193 0%
#6542 temporal_tables 193 0%
#6543 spring-completion 193 0%
#6544 jdx/tap/usage 193 0%
#6545 remake 193 0%
#6546 upc/virt-manager/virt-viewer 192 0%
#6547 osxutils 192 0%
#6548 brewsci/bio/megahit 192 0%
#6549 takenpilot/cbor/cbor-cli 192 0%
#6550 pouriyajamshidi/tap/tcping 192 0%
#6551 ali 192 0%
#6552 fltk@1.3 192 0%
#6553 showwin/speedtest/speedtest 192 0%
#6554 cobra-cli 192 0%
#6555 pistache 191 0%
#6556 purplebooth/repo/git-mit 191 0%
#6557 libsigc++@2 191 0%
#6558 dnstop 191 0%
#6559 xcb-util 191 0%
#6560 deus0ww/tap/yt-dlp 191 0%
#6561 launchctl-completion 191 0%
#6562 asuka 190 0%
#6563 gaze 190 0%
#6564 homebrew/linux-fonts/font-meslo-lg-nerd-font 190 0%
#6565 ucommon 190 0%
#6566 mmdbinspect 190 0%
#6567 act3-ai/tap/act3-pt 190 0%
#6568 xcb-util-cursor 190 0%
#6569 solo2-cli 190 0%
#6570 haproxy@2.8 190 0%
#6571 fw 190 0%
#6572 bao 190 0%
#6573 blastem 190 0%
#6574 roxctl 190 0%
#6575 vc 190 0%
#6576 izyumidev/xcode-discord-rpc/xcode-discord-rpc 190 0%
#6577 c2patool 190 0%
#6578 shivammathur/extensions/mcrypt@7.4 190 0%
#6579 shakacode/brew/curl-impersonate 190 0%
#6580 shivammathur/extensions/yaml@8.1 189 0%
#6581 idutils 189 0%
#6582 omnisharp/omnisharp-roslyn/omnisharp 189 0%
#6583 tuist/tuist/tuist@4.27.0 189 0%
#6584 mecab-unidic 189 0%
#6585 gebug 189 0%
#6586 verovio 189 0%
#6587 libbs2b 189 0%
#6588 nao1215/tap/gup 189 0%
#6589 ike-scan 189 0%
#6590 jrsonnet 189 0%
#6591 shivammathur/extensions/imagick@7.4 189 0%
#6592 php@8.0 188 0%
#6593 mhonarc 188 0%
#6594 plzip 188 0%
#6595 cctz 188 0%
#6596 muon 188 0%
#6597 statesmith 188 0%
#6598 ssllabs-scan 188 0%
#6599 fish-lsp 188 0%
#6600 google-sparsehash 187 0%
#6601 aescrypt 187 0%
#6602 mu-repo 187 0%
#6603 metafates/mangal/mangal 187 0%
#6604 mitie 187 0%
#6605 stylish-haskell 187 0%
#6606 greed 187 0%
#6607 tnftpd 187 0%
#6608 senpai 187 0%
#6609 libmps 186 0%
#6610 valet-sh/core/vsh-elasticsearch8 186 0%
#6611 ampl-mp 186 0%
#6612 gqlplus 186 0%
#6613 rapidfuzz-cpp 186 0%
#6614 git-ssh 186 0%
#6615 gitql 186 0%
#6616 ssocr 186 0%
#6617 pacparser 186 0%
#6618 pcp 186 0%
#6619 ffurrer2/tap/semver 186 0%
#6620 freexl 185 0%
#6621 blaze 185 0%
#6622 doxymacs 185 0%
#6623 tenyr 185 0%
#6624 zpaq 185 0%
#6625 syncdk/yawsso/yawsso 185 0%
#6626 indigo-dc/oidc-agent/oidc-agent 185 0%
#6627 heartexlabs/tap/label-studio 185 0%
#6628 prips 185 0%
#6629 zimfw 185 0%
#6630 bvi 184 0%
#6631 xmq 184 0%
#6632 ghc@9.8 184 0%
#6633 shopify/shopify/shopify-cli@3 184 0%
#6634 kakoune-lsp/kakoune-lsp/kakoune-lsp 184 0%
#6635 imake 184 0%
#6636 osqp 184 0%
#6637 iat 184 0%
#6638 brewsci/num/brewsci-mumps 184 0%
#6639 open-sp 184 0%
#6640 stdman 184 0%
#6641 messense/macos-cross-toolchains/armv7-unknown-linux-gnueabihf 184 0%
#6642 mveritym/mel/kubedecode 184 0%
#6643 liblzf 183 0%
#6644 c-bata/kube-prompt/kube-prompt 183 0%
#6645 judy 183 0%
#6646 go-to-k/tap/cls3 183 0%
#6647 aws/aws/amazon-efs-utils 183 0%
#6648 tomoyanonymous/flatcam/flatcam-beta 183 0%
#6649 tidwall/jj/jj 183 0%
#6650 alexnabokikh/tfsort/tfsort 183 0%
#6651 opencbm 183 0%
#6652 jamf/internal-tap/cloudtools 183 0%
#6653 microsocks 183 0%
#6654 vimpc 183 0%
#6655 blisp 183 0%
#6656 i2util 182 0%
#6657 bastionzero/tap/zli 182 0%
#6658 tigerbeetle 182 0%
#6659 src 182 0%
#6660 gitbatch 182 0%
#6661 lightbend/brew/kalix 182 0%
#6662 pod2man 182 0%
#6663 gitbito/bitocli/bito-cli 182 0%
#6664 ballerburg 182 0%
#6665 naturaldocs 181 0%
#6666 ekzhang/bore/bore 181 0%
#6667 prosody/prosody/prosody 181 0%
#6668 diffstat 181 0%
#6669 cgrep 181 0%
#6670 ownia/ownia/so-novel 181 0%
#6671 candygoblen123/macwiiuvcinjector/wiiuinjector 181 0%
#6672 akash-network/tap/akash-provider-services 181 0%
#6673 sniffglue 181 0%
#6674 thought-machine/please/please 181 0%
#6675 magic_enum 181 0%
#6676 draft 181 0%
#6677 libxfont2 181 0%
#6678 h26forge 181 0%
#6679 whatmp3 181 0%
#6680 ls-lint 181 0%
#6681 librcsc 180 0%
#6682 boringtun 180 0%
#6683 clasp-developers/clasp/clasp-cl 180 0%
#6684 osrf/simulation/dartsim@6.10.0 180 0%
#6685 brewsci/bio/treepl 180 0%
#6686 valet-sh/core/vsh-opensearch1 180 0%
#6687 ghi 180 0%
#6688 hof 180 0%
#6689 pacvim 180 0%
#6690 majd/repo/class-dump 180 0%
#6691 rustls-ffi 180 0%
#6692 heksa 179 0%
#6693 opensca-cli 179 0%
#6694 bittwist 179 0%
#6695 jprq 179 0%
#6696 fst 179 0%
#6697 terraform-graph-beautifier 179 0%
#6698 pinfo 179 0%
#6699 tomlplusplus 179 0%
#6700 valet-sh/core/vsh-opensearch2 179 0%
#6701 fierce 179 0%
#6702 inadyn 178 0%
#6703 elfx86exts 178 0%
#6704 instantclienttap/instantclient/instantclient-tools 178 0%
#6705 eclipse-zenoh/zenoh/zenoh 178 0%
#6706 kickstart 178 0%
#6707 siderolabs/tap/sidero-tools 178 0%
#6708 octopusdeploy/taps/octopus-cli 178 0%
#6709 txt2tags 178 0%
#6710 dc3dd 178 0%
#6711 starkandwayne/cf/spruce 177 0%
#6712 hmarr/tap/codeowners 177 0%
#6713 bubblewrap 177 0%
#6714 sift 177 0%
#6715 shopify/shopify/theme-check 177 0%
#6716 purduesigbots/pros/pros-cli 177 0%
#6717 dasht 177 0%
#6718 tunnel 177 0%
#6719 rure 177 0%
#6720 muesli/tap/markscribe 177 0%
#6721 libstrophe 177 0%
#6722 lp_solve 177 0%
#6723 lv2 177 0%
#6724 aurora 177 0%
#6725 wordle 177 0%
#6726 git-hooks-go 177 0%
#6727 dtm 177 0%
#6728 ghokun/tap/coyote 177 0%
#6729 authoscope 177 0%
#6730 jondot/tap/hygen 176 0%
#6731 alajmo/mani/mani 176 0%
#6732 surfer 176 0%
#6733 hedhyw/main/jlv 176 0%
#6734 mvndaemon/mvnd/mvnd@1 176 0%
#6735 enpass-cli 176 0%
#6736 cifer 176 0%
#6737 tfautomv 176 0%
#6738 bibtexconv 176 0%
#6739 bootoption/repo/bootoption 176 0%
#6740 kudulab/dojo-osx/dojo 176 0%
#6741 jxrlib 176 0%
#6742 device-mapper 176 0%
#6743 dungeon 176 0%
#6744 aws/tap/eksdemo 176 0%
#6745 libntlm 175 0%
#6746 hanshuaikang/nping/nping 175 0%
#6747 tedsmitt/ecsgo/ecsgo 175 0%
#6748 git-bug 175 0%
#6749 microplane 175 0%
#6750 retroreversing/gdb-multiarch/gdb-multiarch 175 0%
#6751 irrlicht 175 0%
#6752 regula 175 0%
#6753 retry 175 0%
#6754 gromgit/fuse/gitfs-mac 175 0%
#6755 libfreefare 175 0%
#6756 sleef 175 0%
#6757 bed 174 0%
#6758 fluxcd/tap/flux@2.1 174 0%
#6759 libb64 174 0%
#6760 datetime-fortran 174 0%
#6761 signalwire/signalwire/libks 174 0%
#6762 shivammathur/php/php@7.3-debug 174 0%
#6763 qpid-proton 174 0%
#6764 virtuoso 173 0%
#6765 blackfireio/blackfire/blackfire-php74 173 0%
#6766 sigi 173 0%
#6767 tarkah/tickrs/tickrs 173 0%
#6768 xdpyinfo 173 0%
#6769 mahout 173 0%
#6770 rarian 173 0%
#6771 ynqa/tap/sigrs 173 0%
#6772 julius 173 0%
#6773 cvs-fast-export 173 0%
#6774 libfuse@2 172 0%
#6775 kubeshop/testkube/testkube 172 0%
#6776 gor 172 0%
#6777 eless 172 0%
#6778 libexosip 172 0%
#6779 robinovitch61/tap/kl 172 0%
#6780 st 172 0%
#6781 amqp-cpp 172 0%
#6782 noovolari/brew/leapp-cli 172 0%
#6783 shivammathur/extensions/mongodb@8.0 172 0%
#6784 cargo-shuttle 172 0%
#6785 qshell 172 0%
#6786 nlohmann/json/nlohmann_json 171 0%
#6787 tarsnap 171 0%
#6788 wiki 171 0%
#6789 bramstein/webfonttools/sfnt2woff-zopfli 171 0%
#6790 plowshare 171 0%
#6791 orbuculum 171 0%
#6792 onedpl 171 0%
#6793 msgpack-tools 171 0%
#6794 sfk 171 0%
#6795 tarsnapper 171 0%
#6796 jigdo 171 0%
#6797 kak-lsp/kak-lsp/kakoune-lsp 170 0%
#6798 iproute2 170 0%
#6799 zurawiki/brews/gptcommit 170 0%
#6800 stefanlogue/tools/meteor 170 0%
#6801 ophcrack 170 0%
#6802 richardlehane/digipres/siegfried 170 0%
#6803 python-trove-classifiers 170 0%
#6804 negfix8 170 0%
#6805 task-spooler 170 0%
#6806 bpftop 170 0%
#6807 mariadb@10.10 170 0%
#6808 sslmate 170 0%
#6809 peterldowns/tap/localias 170 0%
#6810 func-e 170 0%
#6811 davidchall/hep/lhapdf 170 0%
#6812 libmikmod 170 0%
#6813 toxcore 170 0%
#6814 two-lame 170 0%
#6815 cvc5/cvc5/cvc5 170 0%
#6816 peanut996/tap/cloudflarewarpspeedtest 170 0%
#6817 dpp 170 0%
#6818 testscript 169 0%
#6819 little-angry-clouds/my-brews/helmenv 169 0%
#6820 opcr-io/tap/policy 169 0%
#6821 arabica 169 0%
#6822 cljfmt 169 0%
#6823 yohamta/tap/dagu 169 0%
#6824 homebrew/linux-fonts/font-jetbrains-mono-nerd-font 169 0%
#6825 kea 169 0%
#6826 manticoresoftware/tap/manticore-extra 169 0%
#6827 libvo-aacenc 169 0%
#6828 epinio 169 0%
#6829 flowgrind 169 0%
#6830 imapfilter 169 0%
#6831 j178/tap/leetgo 169 0%
#6832 postgresql@10 169 0%
#6833 nutcracker/tap/openssl@1.0 168 0%
#6834 tuist/tuist/tuist@4.41.0 168 0%
#6835 ghz-web 168 0%
#6836 melody 168 0%
#6837 slepc 168 0%
#6838 microsoft/dev-proxy/dev-proxy 168 0%
#6839 httperf 168 0%
#6840 coreos-ct 168 0%
#6841 asciigen 168 0%
#6842 naml 168 0%
#6843 brewsci/bio/stringtie 168 0%
#6844 genders 168 0%
#6845 sysstat 168 0%
#6846 sanemat/font/ricty 167 0%
#6847 spaceinvaders-go 167 0%
#6848 suzuki-shunsuke/pinact/pinact 167 0%
#6849 maclaunch 167 0%
#6850 openfa 167 0%
#6851 jwt-rs/jwt-ui/jwt-ui 167 0%
#6852 fsql 167 0%
#6853 mathlibtools 166 0%
#6854 ecasound 166 0%
#6855 reactiveops/tap/polaris 166 0%
#6856 libgosu 166 0%
#6857 tiff2png 166 0%
#6858 action-docs 166 0%
#6859 nicovideo-dl 166 0%
#6860 bde 166 0%
#6861 homeworlds 166 0%
#6862 veracode/tools/veracode-cli 166 0%
#6863 little-angry-clouds/my-brews/kbenv 165 0%
#6864 dxtich/core/qemu@8.0.4 165 0%
#6865 ethereum-optimism/tap/supersim 165 0%
#6866 simnalamburt/x/podman-apple-silicon 165 0%
#6867 alphagov/gds/gds-cli 165 0%
#6868 cmake-lint 165 0%
#6869 gobuffalo/tap/pop 165 0%
#6870 monk-io/sonaric/sonaric 165 0%
#6871 dockviz 165 0%
#6872 kt-connect 165 0%
#6873 caffix/amass/amass 165 0%
#6874 id3tool 165 0%
#6875 gotpm 165 0%
#6876 dart-lang/dart/dart@2.12 165 0%
#6877 minio-warp 165 0%
#6878 proxify 165 0%
#6879 sipsak 164 0%
#6880 foot 164 0%
#6881 colormake 164 0%
#6882 rbenv-chefdk 164 0%
#6883 asroute 164 0%
#6884 dart-lang/dart/dart@3.4.4 164 0%
#6885 wormhole-william 164 0%
#6886 http-server-rs 164 0%
#6887 abcm2ps 163 0%
#6888 probe-rs-tools 163 0%
#6889 font-util 163 0%
#6890 parera10/csshx/csshx 163 0%
#6891 amazeeio/lagoon-cli/lagoon 163 0%
#6892 zns 163 0%
#6893 gurk 163 0%
#6894 quarylabs/quary/quary 163 0%
#6895 act3-ai/tap/asce-hub-cli 163 0%
#6896 uthash 163 0%
#6897 asmfmt 163 0%
#6898 rinchsan/tap/gosimports 163 0%
#6899 jot 163 0%
#6900 gatehill/imposter/imposter 163 0%
#6901 ccheck 163 0%
#6902 nakabonne/ali/ali 163 0%
#6903 snappystream 163 0%
#6904 tuist/tuist/tuist@4.37.0 163 0%
#6905 deus0ww/tap/libass 163 0%
#6906 libbitcoin-explorer 162 0%
#6907 dfc 162 0%
#6908 immortal 162 0%
#6909 taylormonacelli/tools/manywest 162 0%
#6910 sqsmover 162 0%
#6911 kozyrap/libimobiledevice/libimobiledevice 162 0%
#6912 ekristen/tap/gcp-nuke 162 0%
#6913 autoconf@2.13 162 0%
#6914 leaf 162 0%
#6915 eddycolloton/av-spex/av-spex 162 0%
#6916 tuist/tuist/tuist@4.39.1 162 0%
#6917 libnetworkit 161 0%
#6918 rbenv-bundle-exec 161 0%
#6919 mariadb@11.0 161 0%
#6920 duktape 161 0%
#6921 htmltest 161 0%
#6922 brigade-cli 161 0%
#6923 pbzx 161 0%
#6924 ddh 161 0%
#6925 gci 161 0%
#6926 goredo 161 0%
#6927 nfcutils 161 0%
#6928 mantra 161 0%
#6929 libmypaint 161 0%
#6930 brewsci/bio/bwa-mem2 161 0%
#6931 aws/tap/aws-ddbsh 160 0%
#6932 gofireflyio/aiac/aiac 160 0%
#6933 tvuotila/libxmlsec1/libxmlsec1@1.2.37 160 0%
#6934 libdv 160 0%
#6935 shivammathur/php/php@8.0-debug 160 0%
#6936 harbour 160 0%
#6937 ykdl 160 0%
#6938 typical 160 0%
#6939 boolector 160 0%
#6940 wasm-component-ld 160 0%
#6941 zeek/zeek/spicy 160 0%
#6942 rargs 160 0%
#6943 xen0l/taps/aws-gate 160 0%
#6944 rsc_2fa 160 0%
#6945 grepip 160 0%
#6946 mdsh 159 0%
#6947 valet-sh/core/vsh-elasticsearch5 159 0%
#6948 optimizers/cutest/cutest 159 0%
#6949 flif 159 0%
#6950 apib 159 0%
#6951 xataio/pgroll/pgroll 159 0%
#6952 gromgit/fuse/ext4fuse-mac 159 0%
#6953 tinysearch 159 0%
#6954 nvi 159 0%
#6955 sqlbench 159 0%
#6956 go-blueprint 158 0%
#6957 smake 158 0%
#6958 algorandfoundation/node/algorand 158 0%
#6959 jesseduffield/lazynpm/lazynpm 158 0%
#6960 hashicorp/tap/vault-radar 158 0%
#6961 imgdiff 158 0%
#6962 hgrep 158 0%
#6963 libmapper 158 0%
#6964 quex 157 0%
#6965 uftrace 157 0%
#6966 ndiff 157 0%
#6967 lazyjournal 157 0%
#6968 brewsci/bio/quast 157 0%
#6969 jumanpp 157 0%
#6970 naga-cli 157 0%
#6971 da-luce/astroterm/astroterm 157 0%
#6972 wandio 157 0%
#6973 cassandra-cpp-driver 157 0%
#6974 crabz 157 0%
#6975 signmykey 157 0%
#6976 goku 157 0%
#6977 cayley 157 0%
#6978 onion-location 157 0%
#6979 libvoikko 157 0%
#6980 go-statik 157 0%
#6981 waon 156 0%
#6982 kpeeters/repo/cadabra2 156 0%
#6983 h2spec 156 0%
#6984 gif2png 156 0%
#6985 opentsdb 156 0%
#6986 dpcmd 156 0%
#6987 tf-profile 156 0%
#6988 ivandokov/contrib/phockup 156 0%
#6989 intercept 156 0%
#6990 libbitcoin-protocol 156 0%
#6991 openvi 156 0%
#6992 omake 156 0%
#6993 osx-cross/avr/avr-gcc@14 156 0%
#6994 chrome-export 156 0%
#6995 saldl 156 0%
#6996 caracal 156 0%
#6997 toast 156 0%
#6998 gokey 155 0%
#6999 vroom 155 0%
#7000 valet-sh/core/vsh-elasticsearch1 155 0%
#7001 geometry 155 0%
#7002 apibuilder-cli 155 0%
#7003 taskopen 155 0%
#7004 laishulu/macism/macism 155 0%
#7005 orgalorg 155 0%
#7006 pbkit/tap/pbkit 155 0%
#7007 ephemeralpg 155 0%
#7008 ktmpl 155 0%
#7009 aiken-lang/tap/aikup 155 0%
#7010 hacker1024/hacker1024/coretemp 155 0%
#7011 mackerelio/mackerel-agent/mkr 155 0%
#7012 supermodel 155 0%
#7013 shellz 155 0%
#7014 ngircd 155 0%
#7015 cyberark/tools/conjur-cli 155 0%
#7016 p0f 155 0%
#7017 cln 154 0%
#7018 aliae 154 0%
#7019 ocl-icd 154 0%
#7020 yozefu 154 0%
#7021 havn 154 0%
#7022 purescript 154 0%
#7023 h2o 154 0%
#7024 libdicom 154 0%
#7025 unciv 154 0%
#7026 glyph 154 0%
#7027 define 154 0%
#7028 with-readline 154 0%
#7029 exact-image 154 0%
#7030 trezor-bridge 153 0%
#7031 borkdude/brew/jet 153 0%
#7032 ivtools 153 0%
#7033 libebml 153 0%
#7034 guillemcastro/spotify-dl/spotify-dl 153 0%
#7035 dcp 153 0%
#7036 homebrew-zathura/zathura/zathura 153 0%
#7037 luaver 153 0%
#7038 hqx 153 0%
#7039 upbound/tap/docker-credential-up 153 0%
#7040 cpansearch 153 0%
#7041 html2markdown 153 0%
#7042 plentico/plenti/plenti 153 0%
#7043 knqyf263/trivy/trivy 153 0%
#7044 rhit 152 0%
#7045 shush 152 0%
#7046 libkeccak 152 0%
#7047 bounceback 152 0%
#7048 cidr2range 152 0%
#7049 stuffbin 152 0%
#7050 oq 152 0%
#7051 valet-sh/core/vsh-elasticsearch6 152 0%
#7052 keep-sorted 152 0%
#7053 equinix/tap/metal-cli 152 0%
#7054 graphqxl 152 0%
#7055 draco 152 0%
#7056 portablegl 152 0%
#7057 toml-test 152 0%
#7058 jobber 152 0%
#7059 gemfury/tap/fury-cli 152 0%
#7060 der-ascii 152 0%
#7061 hevea 152 0%
#7062 gvp 152 0%
#7063 volt 152 0%
#7064 bakks/bakks/butterfish 151 0%
#7065 starkandwayne/cf/safe 151 0%
#7066 valet-sh/core/vsh-elasticsearch2 151 0%
#7067 vaulted 151 0%
#7068 libtcod 151 0%
#7069 pgxman/tap/pgxman 151 0%
#7070 tagatac/bagoup/bagoup 151 0%
#7071 libcsv 151 0%
#7072 chain-bench 151 0%
#7073 librttopo 151 0%
#7074 openapi-tui 151 0%
#7075 hawkeye 151 0%
#7076 s6 151 0%
#7077 yukiarrr/tap/ecsk 151 0%
#7078 yamlfix 151 0%
#7079 libcds 151 0%
#7080 draftbrew/tap/hping 151 0%
#7081 oclint/formulae/oclint 151 0%
#7082 digitalspacestdio/php/php74 150 0%
#7083 ad 150 0%
#7084 mariadb@11.1 150 0%
#7085 schappim/ocr/ocr 150 0%
#7086 shopify/shopify/ejson2env 150 0%
#7087 pritunl/tap/pritunl-ssh 150 0%
#7088 bluez 150 0%
#7089 identme 150 0%
#7090 plz-cli 149 0%
#7091 libwbxml 149 0%
#7092 bornagain_test 149 0%
#7093 zet 149 0%
#7094 tofrodos 149 0%
#7095 mysql-search-replace 149 0%
#7096 evil-helix 149 0%
#7097 shivammathur/extensions/mongodb@8.4 149 0%
#7098 statix 149 0%
#7099 fabric-ai 149 0%
#7100 oncletom/cpdf/cpdf 149 0%
#7101 mycorrhiza 149 0%
#7102 levant 149 0%
#7103 davidchall/hep/hepmc3 149 0%
#7104 urlfinder 149 0%
#7105 spiceai/spiceai/spice 149 0%
#7106 fdclone 149 0%
#7107 vulsio-gost 149 0%
#7108 genext2fs 149 0%
#7109 befovy/taps/fvm 149 0%
#7110 launch4j 148 0%
#7111 libbitcoin-system 148 0%
#7112 makeicns 148 0%
#7113 monitoring-plugins 148 0%
#7114 ltc-tools 148 0%
#7115 redwax-tool 148 0%
#7116 tuist/tuist/tuist@4.25.0 148 0%
#7117 jvgrep 148 0%
#7118 dory 148 0%
#7119 perl-xml-parser 148 0%
#7120 docker-machine-nfs 148 0%
#7121 mdp 148 0%
#7122 flexiblas 148 0%
#7123 xkcd 148 0%
#7124 foundry 148 0%
#7125 radiusmethod/awsd/awsd 148 0%
#7126 prometheus-cpp 148 0%
#7127 rune 148 0%
#7128 xeyes 148 0%
#7129 libblastrampoline 148 0%
#7130 tinted-theming/tinted/tinty 148 0%
#7131 libvdpau 148 0%
#7132 mint-lang/mint-lang/mint-lang 148 0%
#7133 sdns 147 0%
#7134 vvdec 147 0%
#7135 libspng 147 0%
#7136 polytopelabs/tap/polytope-cli 147 0%
#7137 parallel-hashmap 147 0%
#7138 concurrencykit 147 0%
#7139 bwfmetaedit 147 0%
#7140 shivammathur/extensions/grpc@8.3 147 0%
#7141 lemmeknow 147 0%
#7142 shivammathur/extensions/swoole@8.2 147 0%
#7143 nativeos/i386-elf-toolchain/i386-elf-grub 147 0%
#7144 shivammathur/extensions/ds@8.1 147 0%
#7145 i686-elf-grub 147 0%
#7146 mirantis/tap/mkectl 147 0%
#7147 ko1nksm/getoptions/getoptions 147 0%
#7148 apache-brooklyn-cli 147 0%
#7149 speech-tools 147 0%
#7150 rigdev/tap/rig 147 0%
#7151 hashpump 147 0%
#7152 mdds 146 0%
#7153 grammarly-languageserver 146 0%
#7154 datreeio/datree/datree 146 0%
#7155 shivammathur/php/php@5.6-debug 146 0%
#7156 libprotoident 146 0%
#7157 tehzz/n64-dev/mips64-elf-binutils 146 0%
#7158 exa 146 0%
#7159 counts 146 0%
#7160 sqlsmith 146 0%
#7161 libebur128 146 0%
#7162 hakky54/crip/crip 146 0%
#7163 rathole 146 0%
#7164 tuna-f1sh/taps/cyme 146 0%
#7165 jonof/kenutils/pngout 146 0%
#7166 octave-app/octave-app/octave-devel 146 0%
#7167 wkentaro/labelme/labelme 146 0%
#7168 ddosify/tap/ddosify 145 0%
#7169 tugboatqa/tugboat/tugboat-cli 145 0%
#7170 shivammathur/extensions/grpc@8.2 145 0%
#7171 macos-term-size 145 0%
#7172 tuntox 145 0%
#7173 tdb 145 0%
#7174 jimtcl 145 0%
#7175 easeprobe 145 0%
#7176 anz-bank/sysl/sysl 145 0%
#7177 mabel 145 0%
#7178 ittapi 145 0%
#7179 blackfireio/blackfire/blackfire-php82 145 0%
#7180 shopify/shopify/libgda@5 145 0%
#7181 aqua 145 0%
#7182 zitadel/tap/zitadel 144 0%
#7183 ytsutano/toolbox/axmldec 144 0%
#7184 clhep 144 0%
#7185 assemblyai/assemblyai/assemblyai 144 0%
#7186 momentohq/tap/momento-cli 144 0%
#7187 babelfish 144 0%
#7188 shivammathur/php/php@7.2-debug 144 0%
#7189 librevenge 144 0%
#7190 twty 144 0%
#7191 khiva 144 0%
#7192 proteinortho 144 0%
#7193 aconchillo/guile/guile-hoot 144 0%
#7194 threemux 144 0%
#7195 aws-c-cal 144 0%
#7196 hashicorp/tap/consul-template 144 0%
#7197 libhttpserver 144 0%
#7198 zorba 144 0%
#7199 kaoh/globalplatform/globalplatform 144 0%
#7200 vimpager 144 0%
#7201 shivammathur/extensions/xdebug@8.0 144 0%
#7202 cpptoml 144 0%
#7203 cd-discid 144 0%
#7204 authz0 144 0%
#7205 jed 144 0%
#7206 objc-run 144 0%
#7207 apono-io/tap/apono-cli 143 0%
#7208 nbbrd/tap/heylogs 143 0%
#7209 daviderestivo/emacs-head/emacs-head@29 143 0%
#7210 omega 143 0%
#7211 gcenx/wine/cx-llvm 143 0%
#7212 actions-batch 143 0%
#7213 bibtex2html 143 0%
#7214 nsh 143 0%
#7215 reg 143 0%
#7216 pwsafe 143 0%
#7217 jkitchin/scimax/scimax 143 0%
#7218 wskdeploy 142 0%
#7219 purplebooth/repo/readable-name-generator 142 0%
#7220 brewsci/bio/fasttree 142 0%
#7221 ctpv 142 0%
#7222 xsd 142 0%
#7223 brewsci/bio/clustal-omega 142 0%
#7224 linux-headers@4.4 142 0%
#7225 elastic/tap/ecctl 142 0%
#7226 zzz 142 0%
#7227 aview 142 0%
#7228 grimme-lab/qc/xtb 142 0%
#7229 leoafarias/fvm/fvm@2.4.1 142 0%
#7230 teip 142 0%
#7231 shivammathur/php/php@8.1-zts 142 0%
#7232 dmnc/horology/tg-timer 142 0%
#7233 cafeobj 142 0%
#7234 ripmime 142 0%
#7235 replicate/tap/replicate 142 0%
#7236 certgraph 142 0%
#7237 yoke 142 0%
#7238 controlplane-com/cpln/cpln 141 0%
#7239 coin-or-tools/coinor/mumps-seq 141 0%
#7240 labstreaminglayer/tap/labrecorder 141 0%
#7241 stolon 141 0%
#7242 showcert 141 0%
#7243 fpart 141 0%
#7244 osx-cross/arm/arm-none-eabi-gcc@8 141 0%
#7245 svls 141 0%
#7246 grapple-solutions/tap/grapple-cli 141 0%
#7247 mandown 141 0%
#7248 mimic 141 0%
#7249 isaaceindhoven/opensearch-maintenance/opensearch@1 141 0%
#7250 openscad/tap/lib3mf 141 0%
#7251 gnu-go 141 0%
#7252 ccd2iso 141 0%
#7253 a7ex/formulae/xcresultparser@1.7.2 141 0%
#7254 libmatroska 141 0%
#7255 ubi 140 0%
#7256 guywaldman/tap/magic-cli 140 0%
#7257 td 140 0%
#7258 fnlfmt 140 0%
#7259 jswidler/tap/lockgit 140 0%
#7260 agedu 140 0%
#7261 libmaa 140 0%
#7262 tengo 140 0%
#7263 paperkey 140 0%
#7264 kubescape/tap/kubescape-cli 140 0%
#7265 launch_socket_server 140 0%
#7266 par 140 0%
#7267 bacula-fd 140 0%
#7268 howard-hinnant-date 140 0%
#7269 yass-cli 140 0%
#7270 modsurfer 139 0%
#7271 colfer 139 0%
#7272 metacall/brew-pkg/brew-pkg 139 0%
#7273 souffle-lang/souffle/souffle 139 0%
#7274 kubeshop/botkube/botkube 139 0%
#7275 codesnap 139 0%
#7276 dumb 139 0%
#7277 lager 139 0%
#7278 superfaceai/cli/superface 139 0%
#7279 xlearn 139 0%
#7280 yatas 139 0%
#7281 libfishsound 139 0%
#7282 libcss 138 0%
#7283 dbt-labs/dbt/dbt-postgres 138 0%
#7284 flint-checker 138 0%
#7285 distill-cli 138 0%
#7286 cxxopts 138 0%
#7287 libscrypt 138 0%
#7288 ndpi 138 0%
#7289 vedic 138 0%
#7290 arb-project/arb/arb@7 138 0%
#7291 ifacemaker 138 0%
#7292 gefyrahq/gefyra/gefyra 138 0%
#7293 darkice 138 0%
#7294 libcerf_test 138 0%
#7295 fw-ai/firectl/firectl 138 0%
#7296 kwilczynski/pkenv/pkenv 138 0%
#7297 json2tsv 138 0%
#7298 matterbridge 138 0%
#7299 nuttcp 138 0%
#7300 awsweeper 138 0%
#7301 otf2bdf 138 0%
#7302 dyff 138 0%
#7303 gmssl 138 0%
#7304 deepsourcelabs/cli/deepsource 138 0%
#7305 brewsci/bio/metabat 138 0%
#7306 bruin-data/tap/bruin 137 0%
#7307 tuist/tuist/tuist@4.40.0 137 0%
#7308 libxshmfence 137 0%
#7309 wiredtiger 137 0%
#7310 ttyrec 137 0%
#7311 create-api 137 0%
#7312 radamsa 137 0%
#7313 swiftwasm/tap/carton 137 0%
#7314 frugal 137 0%
#7315 ioping 137 0%
#7316 msieve 137 0%
#7317 libsais 137 0%
#7318 openjazz 137 0%
#7319 libcpuid 137 0%
#7320 truecrack 136 0%
#7321 phoon 136 0%
#7322 act3-ai/tap/asce 136 0%
#7323 one2nc/cloudlens/cloudlens 136 0%
#7324 cmark-gfm 136 0%
#7325 shell2http 136 0%
#7326 txr 136 0%
#7327 maaassistantarknights/tap/maa-core 136 0%
#7328 open-tyrian 136 0%
#7329 libccd 136 0%
#7330 konnano/brew_list/brew_list 136 0%
#7331 infrahq/tap/infra 135 0%
#7332 libxo 135 0%
#7333 act3-ai/tap/kubectl-equilibria 135 0%
#7334 mackerelio/mackerel-agent/mackerel-agent 135 0%
#7335 xmlformat 135 0%
#7336 brewsci/bio/mummer 135 0%
#7337 moonfruit/tap/gost@3 135 0%
#7338 keeper-commander 135 0%
#7339 shivammathur/extensions/memcached@8.2 135 0%
#7340 octomap 135 0%
#7341 proof-general 135 0%
#7342 open-completion 135 0%
#7343 shivammathur/extensions/redis@7.3 134 0%
#7344 skyzyx/ffmpeg/ffmpeg-skyzyx 134 0%
#7345 sgn 134 0%
#7346 uni-algo 134 0%
#7347 libfreehand 134 0%
#7348 michaelroosz/ssh/libsk-libfido2 134 0%
#7349 fuzzy-find 134 0%
#7350 grafana/grafana/cortextool 134 0%
#7351 hyperscan 134 0%
#7352 shivammathur/extensions/snmp@8.4 134 0%
#7353 bugdea1er/tap/tmp 134 0%
#7354 cargo-public-api 134 0%
#7355 cdpr 134 0%
#7356 gromgit/fuse/bindfs-mac 134 0%
#7357 tnef 134 0%
#7358 surfraw 134 0%
#7359 acorn-io/cli/acorn 134 0%
#7360 eslint_d 134 0%
#7361 libkate 134 0%
#7362 serd 134 0%
#7363 mdq 134 0%
#7364 musepack 134 0%
#7365 aliddns 133 0%
#7366 fuego-firestore 133 0%
#7367 yafc 133 0%
#7368 mxnet 133 0%
#7369 urweb 133 0%
#7370 geode-sdk/geode/geode-cli 133 0%
#7371 orogene 133 0%
#7372 age-plugin-se 133 0%
#7373 mtbl 132 0%
#7374 odpi 132 0%
#7375 fabio 132 0%
#7376 t1lib 132 0%
#7377 finestructure/tap/arena 132 0%
#7378 git-hound 132 0%
#7379 shivammathur/extensions/swoole@8.1 132 0%
#7380 libisofs 132 0%
#7381 gitbackup 132 0%
#7382 binocle 132 0%
#7383 shivammathur/extensions/imagick@8.0 132 0%
#7384 mergestat/mergestat/mergestat 132 0%
#7385 terrapin-scanner 132 0%
#7386 json_spirit 132 0%
#7387 rpg-cli 132 0%
#7388 code2prompt 131 0%
#7389 ekg2 131 0%
#7390 brotherbui/homebrew/fshare 131 0%
#7391 publish 131 0%
#7392 cloudfleetai/tap/cloudfleet-cli 131 0%
#7393 md2pdf 131 0%
#7394 aws/tap/emr-on-eks-custom-image 131 0%
#7395 kuzco 131 0%
#7396 denisidoro/tools/docpars 131 0%
#7397 mighttpd2 131 0%
#7398 when 131 0%
#7399 fdroidcl 131 0%
#7400 amiaopensource/amiaos/gtkdialog 131 0%
#7401 zegervdv/zathura/zathura-cb 131 0%
#7402 owfs 131 0%
#7403 dlvhdr/formulae/diffnav 131 0%
#7404 tremor-runtime 131 0%
#7405 rust-script 131 0%
#7406 libquicktime 131 0%
#7407 cloudamqp/cloudamqp/lavinmq 130 0%
#7408 cloud-fs/clouddrive2/clouddrive2 130 0%
#7409 xnvme 130 0%
#7410 bbe 130 0%
#7411 paul-nameless/repo/tg 130 0%
#7412 flow-control 130 0%
#7413 brewsci/bio/cd-hit 130 0%
#7414 libff 130 0%
#7415 jannis-baum/tap/vivify 130 0%
#7416 bsdmake 130 0%
#7417 ott 130 0%
#7418 fork-cleaner 130 0%
#7419 nestoca/public/joy 130 0%
#7420 iodine 130 0%
#7421 sshportal 130 0%
#7422 png2ico 129 0%
#7423 blink 129 0%
#7424 rex 129 0%
#7425 ulfius 129 0%
#7426 invoice 129 0%
#7427 slumber 129 0%
#7428 libansilove 129 0%
#7429 shivammathur/extensions/apcu@7.4 129 0%
#7430 libtins 129 0%
#7431 fonts-encodings 129 0%
#7432 dustinblackman/tap/oatmeal 129 0%
#7433 mp3wrap 129 0%
#7434 defanglabs/defang/defang 129 0%
#7435 gemgen 129 0%
#7436 block-ssh-agent 129 0%
#7437 bar 129 0%
#7438 xplanet 129 0%
#7439 cloudpan189-go 129 0%
#7440 yleisradio/terraforms/chtf 129 0%
#7441 svlint 129 0%
#7442 slepc-complex 129 0%
#7443 btrfs-progs 129 0%
#7444 marcli 129 0%
#7445 remind101/formulae/assume-role 129 0%
#7446 pbc 129 0%
#7447 sonatype-nexus-community/nancy-tap/nancy 129 0%
#7448 pete911/tap/certinfo 129 0%
#7449 rosco-m68k/toolchain/gcc-cross-m68k@13 129 0%
#7450 muparser 128 0%
#7451 shivammathur/php/php-debug-zts 128 0%
#7452 fusionauth/fusionauth/fusionauth-app 128 0%
#7453 nsync 128 0%
#7454 git-appraise 128 0%
#7455 pingidentity/tap/pingctl 128 0%
#7456 rainbarf 128 0%
#7457 mobile-generate 128 0%
#7458 blacktop/tap/ipsw-frida 128 0%
#7459 luit 128 0%
#7460 kustomizer 128 0%
#7461 brewsci/bio/subread 128 0%
#7462 planetlabs/tap/gpq 127 0%
#7463 kaplanelad/tap/shellfirm 127 0%
#7464 oksh 127 0%
#7465 rkflashtool 127 0%
#7466 moncho/dry/dry 127 0%
#7467 omnisharp/omnisharp-roslyn/omnisharp-mono 127 0%
#7468 simde 127 0%
#7469 shivammathur/extensions/swoole@8.4 127 0%
#7470 libetpan 127 0%
#7471 upcloudltd/tap/upcloud-cli 127 0%
#7472 crates-tui 127 0%
#7473 tendermint 127 0%
#7474 ssss 127 0%
#7475 cormacrelf/tap/dark-notify 127 0%
#7476 messense/macos-cross-toolchains/arm-unknown-linux-gnueabihf 126 0%
#7477 jmhobbs/parrot/terminal-parrot 126 0%
#7478 amalshaji/taps/portr 126 0%
#7479 pothosware/pothos/soapyaudio 126 0%
#7480 num-utils 126 0%
#7481 licensor 126 0%
#7482 juman 126 0%
#7483 sri-csl/sri-csl/yices2 126 0%
#7484 wiggle 126 0%
#7485 daemonize 126 0%
#7486 urdfdom_headers 126 0%
#7487 kubeone 126 0%
#7488 gromgit/new-life/unrar 125 0%
#7489 libopennet 125 0%
#7490 libabw 125 0%
#7491 consul-backinator 125 0%
#7492 score-spec/tap/score-k8s 125 0%
#7493 namespacelabs/namespace/nsc 125 0%
#7494 bioawk 125 0%
#7495 dbt-labs/dbt/dbt-redshift 125 0%
#7496 smpeg2 125 0%
#7497 emp 125 0%
#7498 quictls 125 0%
#7499 gostatic 125 0%
#7500 ptex 125 0%
#7501 robin-map 125 0%
#7502 goto 125 0%
#7503 cql-proxy 125 0%
#7504 libvisual-plugins 125 0%
#7505 pipe-rename 125 0%
#7506 rs/tap/jaggr 125 0%
#7507 clamz 125 0%
#7508 lunchy 124 0%
#7509 iotaledger/tap/iota 124 0%
#7510 wapm 124 0%
#7511 libsql/sqld/sqld-beta 124 0%
#7512 hl 124 0%
#7513 kscripting/tap/kscript 124 0%
#7514 watcher 124 0%
#7515 cig 124 0%
#7516 wb32-dfu-updater_cli 124 0%
#7517 xmp 124 0%
#7518 streamx-dev/tap/streamx 124 0%
#7519 clockworklabs/tap/spacetime 124 0%
#7520 diary 123 0%
#7521 netmask 123 0%
#7522 cflow 123 0%
#7523 reveal-md 123 0%
#7524 tgif 123 0%
#7525 driftwood 123 0%
#7526 vitorgalvao/tiny-scripts/cask-repair 123 0%
#7527 activemq-cpp 123 0%
#7528 zoro 123 0%
#7529 libsbol 123 0%
#7530 shepherd 123 0%
#7531 eosio/eosio/eosio 123 0%
#7532 shivammathur/extensions/grpc@8.1 123 0%
#7533 red-tldr 123 0%
#7534 uhdm 123 0%
#7535 withgraphite/tap/graphite-beta 123 0%
#7536 libpostal 123 0%
#7537 ratfor 123 0%
#7538 oak 123 0%
#7539 oakc 123 0%
#7540 qthreads 123 0%
#7541 dsocks 122 0%
#7542 meli 122 0%
#7543 ry 122 0%
#7544 io 122 0%
#7545 blackfireio/blackfire/blackfire-php81 122 0%
#7546 sequin 122 0%
#7547 ecoji 122 0%
#7548 cliam 122 0%
#7549 instantclienttap/instantclient/instantclient-basiclite 122 0%
#7550 terrahash 122 0%
#7551 alesbrelih/gitlab-ci-ls/gitlab-ci-ls 122 0%
#7552 jotta/cli/jotta-cli 122 0%
#7553 wgetpaste 122 0%
#7554 cubelib 122 0%
#7555 complexlogic/tap/rsgain 122 0%
#7556 osx-cross/avr/simavr 122 0%
#7557 leaps 121 0%
#7558 taylormonacelli/tools/allbranding 121 0%
#7559 shivammathur/extensions/rdkafka@8.3 121 0%
#7560 eot-utils 121 0%
#7561 upa/tap/mscp 121 0%
#7562 ethersphere/tap/swarm-bee 121 0%
#7563 aws-c-http 121 0%
#7564 mjpegtools 121 0%
#7565 libvisual-projectm 121 0%
#7566 mdomke/git-semver/git-semver 121 0%
#7567 sapd/headsetcontrol/headsetcontrol 121 0%
#7568 shivammathur/extensions/protobuf@8.3 121 0%
#7569 shivammathur/extensions/mcrypt@5.6 121 0%
#7570 leetcode-cli 121 0%
#7571 kaos/shell/bats-support 121 0%
#7572 quran 121 0%
#7573 nuspell/nuspell/nuspell 121 0%
#7574 spring-loaded 121 0%
#7575 hcavarsan/kftray/kftray-linux 121 0%
#7576 ruffle-rs/ruffle/ruffle 121 0%
#7577 shivammathur/extensions/amqp@8.3 121 0%
#7578 kris-anderson/netperf/netperf-enable-demo 121 0%
#7579 zchunk 121 0%
#7580 curlcpp 120 0%
#7581 lsdvd 120 0%
#7582 amtterm 120 0%
#7583 arleypadua/pkhex-cli/pkhex-cli 120 0%
#7584 laggardkernel/tap/curl-options 120 0%
#7585 karn 120 0%
#7586 libxvmc 120 0%
#7587 cjbassi/ytop/ytop 120 0%
#7588 tty-solitaire 120 0%
#7589 joedrago/repo/avifenc 119 0%
#7590 freecad/freecad/freecad@0.20.1 119 0%
#7591 coin-or-tools/coinor/clp 119 0%
#7592 switch-lan-play 119 0%
#7593 cargo-cache 119 0%
#7594 wdc 119 0%
#7595 wakatara/tap/harsh 119 0%
#7596 fcgiwrap 119 0%
#7597 xml-security-c 119 0%
#7598 nq 119 0%
#7599 calyptia/tap/calyptia 119 0%
#7600 open-simh 119 0%
#7601 ethereum/ethereum/solidity@5 119 0%
#7602 mdzk 118 0%
#7603 bfontaine/utils/rfc 118 0%
#7604 comtrya 118 0%
#7605 nginx/unit/unit-php 118 0%
#7606 rslint 118 0%
#7607 fastme 118 0%
#7608 thoughtbot/formulae/parity 118 0%
#7609 heroku/brew/heroku-node 118 0%
#7610 hotbuild 118 0%
#7611 dasm 118 0%
#7612 git-ignore 118 0%
#7613 libotr 118 0%
#7614 homebrew/linux-fonts/font-fira-code-nerd-font 118 0%
#7615 git-graph 118 0%
#7616 dotenv-org/brew/dotenv-vault 118 0%
#7617 real-aazam/aazam/hollywood 118 0%
#7618 ilikejam/csshi/csshi 118 0%
#7619 domoapps/ryuu/domo-linux 118 0%
#7620 aws-c-event-stream 118 0%
#7621 lslqtz/formulae/bclm_loop 118 0%
#7622 gidoichi/tap/pmo 117 0%
#7623 argus 117 0%
#7624 hashicorp/tap/waypoint 117 0%
#7625 libxscrnsaver 117 0%
#7626 sigsum-go 117 0%
#7627 axiomhq/tap/axiom 117 0%
#7628 shivammathur/extensions/yaml@8.2 117 0%
#7629 yazpp 117 0%
#7630 libfs 117 0%
#7631 tuc 117 0%
#7632 cmrc 117 0%
#7633 snownews 117 0%
#7634 cirruslabs/cli/softnet 117 0%
#7635 blender/tap/rome 117 0%
#7636 imgp 117 0%
#7637 krep 117 0%
#7638 shivammathur/php/php@7.1-debug 117 0%
#7639 tarlz 116 0%
#7640 splunk/tap/qbec 116 0%
#7641 kojix2/brew/deepl-cli 116 0%
#7642 nethermindeth/nethermind/nethermind 116 0%
#7643 uade 116 0%
#7644 visidata 116 0%
#7645 homebrew/portable-ruby/portable-zlib 116 0%
#7646 tcpstat 116 0%
#7647 duplocloud/tap/duploctl 116 0%
#7648 passbolt/tap/go-passbolt-cli 116 0%
#7649 udptunnel 116 0%
#7650 libtpms 116 0%
#7651 cillers-com/tap/cillers 116 0%
#7652 znapzend 116 0%
#7653 brewsci/bio/canu 116 0%
#7654 anhoder/repo/neovim-nightly 116 0%
#7655 libcmph 116 0%
#7656 libmsquic 116 0%
#7657 servus 116 0%
#7658 snowflake-labs/snowflake-cli/snowflake-cli 115 0%
#7659 hcledit 115 0%
#7660 prskr/the-prancing-package/git-age 115 0%
#7661 parlay 115 0%
#7662 vancluever/input-leap/input-leap 115 0%
#7663 sigstore/tap/cosign 115 0%
#7664 salilab/salilab/ihm 115 0%
#7665 stax-labs/taps/stax2aws 115 0%
#7666 aws-c-io 115 0%
#7667 liblc3 115 0%
#7668 cube2222/octosql/octosql 115 0%
#7669 purr 115 0%
#7670 libmd 115 0%
#7671 flake 115 0%
#7672 zrepl 114 0%
#7673 brewsci/bio/barrnap 114 0%
#7674 venafi/tap/vcert 114 0%
#7675 veryfasttree 114 0%
#7676 mlkit 114 0%
#7677 osrf/simulation/ogre2.3 114 0%
#7678 shellinabox 114 0%
#7679 dtach 114 0%
#7680 libdca 114 0%
#7681 bomctl/bomctl/bomctl 114 0%
#7682 wolfmqtt 114 0%
#7683 eg 114 0%
#7684 kty 113 0%
#7685 perl-dbd-mysql 113 0%
#7686 weaveworks/tap/tfctl 113 0%
#7687 signify-osx 113 0%
#7688 drafter 113 0%
#7689 git-remote-gcrypt 113 0%
#7690 pomsky 113 0%
#7691 darkstat 113 0%
#7692 crissnb/dynamicisland/dynamicislandsketchybar 113 0%
#7693 jsontoolkit 113 0%
#7694 filecoin-project/lotus/lotus 113 0%
#7695 dnote/dnote/dnote 113 0%
#7696 whatmask 113 0%
#7697 brewsci/bio/snippy 113 0%
#7698 clblas 113 0%
#7699 knazarov/qemu-virgl/qemu-virgl 113 0%
#7700 ex-vi 113 0%
#7701 apophenia 113 0%
#7702 bfgminer 113 0%
#7703 shivammathur/php/php@5.6-zts 113 0%
#7704 openshift-pipelines/pipelines-as-code/tektoncd-pac 112 0%
#7705 sagittarius-scheme 112 0%
#7706 orlangure/tap/gocovsh 112 0%
#7707 dipc 112 0%
#7708 libvatek 112 0%
#7709 svix/svix/svix 112 0%
#7710 ebook2cw 112 0%
#7711 otterize/otterize/otterize-cli 112 0%
#7712 netperf 112 0%
#7713 xcb-util-image 112 0%
#7714 redir 112 0%
#7715 ajrosen/icalpal/icalpal 112 0%
#7716 waffle 112 0%
#7717 xcb-util-wm 112 0%
#7718 eprover 112 0%
#7719 flac123 112 0%
#7720 shivammathur/php/php@8.0-zts 111 0%
#7721 petere/postgresql/postgresql@16 111 0%
#7722 apm-bash-completion 111 0%
#7723 quantum++ 111 0%
#7724 feluda 111 0%
#7725 startup-notification 111 0%
#7726 libplctag 111 0%
#7727 wcstools 111 0%
#7728 hping 111 0%
#7729 flatcc 111 0%
#7730 redict 111 0%
#7731 elm-format 111 0%
#7732 gsar 111 0%
#7733 mp3cat 111 0%
#7734 fujiwara/tap/tracer 111 0%
#7735 mpdscribble 111 0%
#7736 libpagemaker 111 0%
#7737 jank-lang/jank/jank 111 0%
#7738 cargo-flamegraph 111 0%
#7739 busted 111 0%
#7740 ente-cli 111 0%
#7741 matt-chapman/python2/python@2 111 0%
#7742 protobuf@29 110 0%
#7743 brewsci/bio/bowtie 110 0%
#7744 dry 110 0%
#7745 lft 110 0%
#7746 link-grammar 110 0%
#7747 dpo/mumps-jl/mpich-mumps 110 0%
#7748 bibtex-tidy 110 0%
#7749 nanomsg 110 0%
#7750 openslp 110 0%
#7751 python-charset-normalizer 110 0%
#7752 rs/tap/jplot 110 0%
#7753 mczachurski/wallpapper/wallpapper 110 0%
#7754 rdp/openssh-gssapi/openssh-patched 110 0%
#7755 zunit-zsh/zunit/zunit 110 0%
#7756 opensubdiv 110 0%
#7757 tmx 110 0%
#7758 librsync 110 0%
#7759 speedbump 110 0%
#7760 mapbox/cli/mapbox 110 0%
#7761 opencv@3 110 0%
#7762 maplibre/martin/martin 109 0%
#7763 webify 109 0%
#7764 taiki-e/tap/cargo-llvm-cov 109 0%
#7765 nvsecurity/taps/nightvision 109 0%
#7766 noobaa/noobaa/noobaa 109 0%
#7767 tclap 109 0%
#7768 fortitude 109 0%
#7769 pedump 109 0%
#7770 python-free-threading 109 0%
#7771 remove-bg/tap/removebg 109 0%
#7772 libunicode 109 0%
#7773 carton 109 0%
#7774 yoggy/tap/sendosc 109 0%
#7775 libapplewm 109 0%
#7776 req 109 0%
#7777 shivammathur/extensions/pcov@8.2 109 0%
#7778 typesense/tap/typesense-server@27.0 109 0%
#7779 koraysels/personal/zeal 109 0%
#7780 libcanberra 109 0%
#7781 lunchy-go 109 0%
#7782 nrg2iso 109 0%
#7783 shivammathur/extensions/redis@7.2 109 0%
#7784 gx 109 0%
#7785 terrahelp 109 0%
#7786 sshguard 109 0%
#7787 arnested/ssh2iterm2/ssh2iterm2 109 0%
#7788 homeport/tap/havener 109 0%
#7789 quasi88 109 0%
#7790 cxreiff/tap/ttysvr 108 0%
#7791 monocle 108 0%
#7792 datawire/blackbird/telepresence-legacy 108 0%
#7793 range2cidr 108 0%
#7794 libmwaw 108 0%
#7795 dhex 108 0%
#7796 git-mediate 108 0%
#7797 ksync 108 0%
#7798 sshtrix 108 0%
#7799 maaassistantarknights/tap/maa-cli-beta 108 0%
#7800 macaulay2/tap/factory 108 0%
#7801 libdill 108 0%
#7802 uetchy/nlp/knp 108 0%
#7803 cohere-ai/kueue-jobs-cli/kjobs-go 108 0%
#7804 uniutils 108 0%
#7805 timrogers/tap/litra 108 0%
#7806 bitwise 108 0%
#7807 libcuefile 107 0%
#7808 ivykis 107 0%
#7809 xmds2/xmds2/xmds2 107 0%
#7810 ai-christianson/ra-aid/ra-aid 107 0%
#7811 datree 107 0%
#7812 noovolari/brew/leapp-cli-darwin-arm64 107 0%
#7813 darkbrow/repo/ffmpeg 107 0%
#7814 crfsuite 107 0%
#7815 sql-formatter 107 0%
#7816 digitalspacestdio/php/php56 107 0%
#7817 apecloud/tap/kbcli 107 0%
#7818 ircd-hybrid 107 0%
#7819 rippled 107 0%
#7820 uptimed 107 0%
#7821 syncdk/aws-session-manager-plugin/aws-session-manager-plugin 107 0%
#7822 homeshick 107 0%
#7823 maeparser 107 0%
#7824 oslo 107 0%
#7825 cgit 107 0%
#7826 smali 106 0%
#7827 codefresh-io/cli/cf2 106 0%
#7828 opendetex 106 0%
#7829 oranda 106 0%
#7830 bwhiteapps/genq/genq 106 0%
#7831 ozeias/postgresql/postgis@16 106 0%
#7832 reshape 106 0%
#7833 cdalvaro/tap/wxwidgets 106 0%
#7834 cargo-dist 106 0%
#7835 cowsay-org/cowsay/cowsay-org 106 0%
#7836 contribsys/faktory/faktory 106 0%
#7837 kyleconroy/sqlc/sqlc 106 0%
#7838 pothosware/pothos/soapyuhd 106 0%
#7839 brewsci/bio/plink2 106 0%
#7840 cncf/landscape2/landscape2 106 0%
#7841 multi-git-status 106 0%
#7842 libgig 106 0%
#7843 traildb 106 0%
#7844 dgen 106 0%
#7845 cryfs 106 0%
#7846 xqilla 106 0%
#7847 watermint/toolbox/toolbox 106 0%
#7848 maaassistantarknights/tap/maa-cli 105 0%
#7849 eth-p/software/bat-extras 105 0%
#7850 mutagen-io/mutagen/mutagen@0.17 105 0%
#7851 imessage-ruby 105 0%
#7852 pinterest/tap/xcodegen 105 0%
#7853 xmodmap 105 0%
#7854 streamnative/streamnative/pulsarctl 105 0%
#7855 kool 105 0%
#7856 linuxkit/linuxkit/linuxkit 105 0%
#7857 wzprof 105 0%
#7858 cargo-chef 105 0%
#7859 osrf/simulation/ignition-msgs1 105 0%
#7860 lume 105 0%
#7861 weggli 104 0%
#7862 zsh-system-clipboard 104 0%
#7863 pulumi/tap/cf2pulumi 104 0%
#7864 acronym 104 0%
#7865 jsawk 104 0%
#7866 web-eid/gtest/gtest 104 0%
#7867 tueda/loops/kira 104 0%
#7868 jmmeessen/tap/jenkins-top-submitters 104 0%
#7869 darkbrow/repo/mpv 104 0%
#7870 fcanas/tap/mirror-displays 104 0%
#7871 zegervdv/zathura/zathura-djvu 104 0%
#7872 shivammathur/extensions/igbinary@8.3 104 0%
#7873 jenkins-infra/tap/plugin-modernizer 104 0%
#7874 rancherfederal/tap/hauler 104 0%
#7875 m68k-elf-binutils 104 0%
#7876 souffle 104 0%
#7877 sf-pwgen 103 0%
#7878 spago 103 0%
#7879 openhue/cli/openhue-cli 103 0%
#7880 yutu 103 0%
#7881 sub2srt 103 0%
#7882 shivammathur/extensions/grpc@7.4 103 0%
#7883 bagels 103 0%
#7884 epsilon 103 0%
#7885 mkvalidator 103 0%
#7886 pick 103 0%
#7887 ltex-ls-plus 103 0%
#7888 bozohttpd 103 0%
#7889 shivammathur/extensions/ds@8.3 103 0%
#7890 dsh 103 0%
#7891 arkweid/lefthook/lefthook 103 0%
#7892 tabbyml/tabby/tabby-rc 103 0%
#7893 jmmeessen/tap/jenkins-stats 103 0%
#7894 libcyaml 102 0%
#7895 mspdebug 102 0%
#7896 tagref 102 0%
#7897 mfterm 102 0%
#7898 recutils 102 0%
#7899 aamath 102 0%
#7900 orangekame3/tap/stree 102 0%
#7901 open-component-model/tap/ocm 102 0%
#7902 slacknimate 102 0%
#7903 azure/functions/azure-functions-core-tools@2 102 0%
#7904 bc-gh 102 0%
#7905 timsutton/formulae/speedwagon 102 0%
#7906 homebrew/portable-ruby/portable-libffi 102 0%
#7907 cmuclmtk 102 0%
#7908 bbenchen/emacs-plus/emacs-plus@31 102 0%
#7909 sleek 102 0%
#7910 gnu-barcode 102 0%
#7911 viva64/pvs-studio/pvs-studio-beta 102 0%
#7912 romnn/tap/confirm 102 0%
#7913 celero 102 0%
#7914 kionsoftware/tap/kion-cli 102 0%
#7915 redland 102 0%
#7916 macaulay2/tap/gfan 102 0%
#7917 ddev/ddev-edge/ddev 102 0%
#7918 pungrumpy/formulas/dockercolorize 101 0%
#7919 shivammathur/php/php@7.2-zts 101 0%
#7920 mk-5/mk-5/fjira 101 0%
#7921 mdxmini 101 0%
#7922 pmdmini 101 0%
#7923 gerbera/gerbera/gerbera 101 0%
#7924 deark 101 0%
#7925 dhth/tap/omm 101 0%
#7926 shivammathur/extensions/memcached@7.4 101 0%
#7927 withered-magic/brew/starpls 101 0%
#7928 cpu_features 101 0%
#7929 twm 101 0%
#7930 shivammathur/php/php@8.3-debug 101 0%
#7931 shivammathur/extensions/ds@8.2 101 0%
#7932 parrot 101 0%
#7933 autifyhq/tap/autify-cli 101 0%
#7934 shivammathur/php/php@8.3-zts 101 0%
#7935 matlab2tikz 101 0%
#7936 weaver 101 0%
#7937 libxp 101 0%
#7938 yyjson 100 0%
#7939 convertlit 100 0%
#7940 gcenx/apple/game-porting-toolkit-compiler 100 0%
#7941 cpmtools 100 0%
#7942 timescale/tap/timescaledb-tools 100 0%
#7943 ipbt 100 0%
#7944 holgerbrandl/tap/kscript 100 0%
#7945 legba 100 0%
#7946 create-go-app/tap/cgapp 100 0%
#7947 fluxcd/tap/flux@2.0 100 0%
#7948 glui 100 0%
#7949 xcb-util-keysyms 100 0%
#7950 x86_64-elf-grub 100 0%
#7951 cargo-msrv 100 0%
#7952 jikken 100 0%
#7953 uru 100 0%
#7954 cloudfoundry/tap/bbl 100 0%
#7955 krish-sysadmin/virt-manager/virt-viewer 100 0%
#7956 classads 99 0%
#7957 git-cal 99 0%
#7958 configen 99 0%
#7959 h264bitstream 99 0%
#7960 libsignal-protocol-c 99 0%
#7961 homebrew/linux-fonts/font-hack-nerd-font 99 0%
#7962 issakuss/papnt/papnt 99 0%
#7963 sispmctl 99 0%
#7964 gluon 99 0%
#7965 asn1c 99 0%
#7966 dcadec 99 0%
#7967 libxcvt 99 0%
#7968 brewsci/bio/mosdepth 99 0%
#7969 iopsystems/iop/systemslab 99 0%
#7970 gh-ost 99 0%
#7971 slashem 99 0%
#7972 goyacc 99 0%
#7973 ascii2binary 99 0%
#7974 shivammathur/extensions/protobuf@8.2 99 0%
#7975 ab-av1 98 0%
#7976 jam 98 0%
#7977 tnk-studio/tools/lazykube 98 0%
#7978 unittest 98 0%
#7979 fzj-jsc/scalasca/cubelib 98 0%
#7980 twostraws/brew/sitrep 98 0%
#7981 jenkins-x/jx/jx 98 0%
#7982 access 98 0%
#7983 bonnie++ 98 0%
#7984 mongodb/brew/mongodb-mongocryptd 98 0%
#7985 git-now 98 0%
#7986 xo/xo/xo 98 0%
#7987 libltc 98 0%
#7988 docker-machine-parallels 98 0%
#7989 twelvelabs/tap/stylist 98 0%
#7990 akka/brew/akka 98 0%
#7991 pinboard-notes-backup 98 0%
#7992 devantler-tech/formulas/ksail 98 0%
#7993 darkbrow/repo/gnuplot 98 0%
#7994 vldmrkl/formulae/airdrop-cli 98 0%
#7995 volllly/tap/rotz 98 0%
#7996 portolanetwork/portola-staging/staging.portola.app 98 0%
#7997 mplayershell 97 0%
#7998 piste2750/ltfs/ltfs 97 0%
#7999 sdl3_ttf 97 0%
#8000 kstart 97 0%
#8001 d12frosted/emacs-plus/emacs-plus@27 97 0%
#8002 http_load 97 0%
#8003 gocardless/taps/cli 97 0%
#8004 midicsv 97 0%
#8005 soci 97 0%
#8006 libvisual 97 0%
#8007 git-annex-remote-rclone 97 0%
#8008 joemiller/taps/vault-token-helper 97 0%
#8009 icon 96 0%
#8010 rs/tap/curlie 96 0%
#8011 homebrew/portable-ruby/portable-libxcrypt 96 0%
#8012 libdvdread 96 0%
#8013 parallel-disk-usage 96 0%
#8014 log4cpp 96 0%
#8015 crystal-ameba/ameba/ameba 96 0%
#8016 mongodb/brew/mongodb-enterprise 96 0%
#8017 darkbrow/repo/cmus 96 0%
#8018 shivammathur/extensions/protobuf@8.1 96 0%
#8019 vmdktool 96 0%
#8020 goimports 96 0%
#8021 udp2raw-multiplatform 96 0%
#8022 mthmulders/maven-snapshot/maven-snapshot 96 0%
#8023 tgpt 96 0%
#8024 blacktop/tap/frida-tools 96 0%
#8025 xtermcontrol 96 0%
#8026 alive2 96 0%
#8027 libu2f-server 96 0%
#8028 hexcurse 96 0%
#8029 yoshitakamo/bio2/coot 96 0%
#8030 simh 96 0%
#8031 cspell 96 0%
#8032 samuelmeuli/tap/tmignore 96 0%
#8033 python@3.7 96 0%
#8034 caffe 96 0%
#8035 kyu08/tap/fzf-make 96 0%
#8036 ryleelyman/seamstress/seamstress 95 0%
#8037 bbenchen/emacs-plus/emacs-plus@30 95 0%
#8038 twelvelabs/tap/depctl 95 0%
#8039 radulucut/cleed/cleed 95 0%
#8040 libdbi 95 0%
#8041 tzdb 95 0%
#8042 chanzuckerberg/tap/gcflow 95 0%
#8043 dhall-toml 95 0%
#8044 shivammathur/extensions/mongodb@7.3 95 0%
#8045 grunt-completion 95 0%
#8046 charmbracelet/tap/sequin 95 0%
#8047 xe/pkgs/protoc-gen-twirp 95 0%
#8048 fishtown-analytics/dbt/dbt 95 0%
#8049 static-web-server 95 0%
#8050 m-dzianishchyts/jjava/jjava 95 0%
#8051 hettysoft/tap/hetty 95 0%
#8052 ceramicnetwork/tap/ceramic-one 95 0%
#8053 hashicorp/tap/copywrite 95 0%
#8054 swctl 95 0%
#8055 mongodb/brew/mongodb-community-shell@4.4 95 0%
#8056 webdis 95 0%
#8057 percona-xtrabackup@8.0 95 0%
#8058 pr0d1r2/python2/python@2.7.17 95 0%
#8059 cloudquery/tap/scaffold 95 0%
#8060 ninech/taps/nctl 95 0%
#8061 libpoker-eval 95 0%
#8062 tamarin-prover/tap/maude 95 0%
#8063 zita-convolver 95 0%
#8064 spotify/public/gcs-parquet-cli 95 0%
#8065 tuist/tuist/tuist@4.7.0 94 0%
#8066 libreplaygain 94 0%
#8067 nginx/unit/unit-python3 94 0%
#8068 tinycdb 94 0%
#8069 sourcedocs 94 0%
#8070 johnhcc/gs-x11/ghostscript-x11 94 0%
#8071 hashicorp/tap/consul-enterprise 94 0%
#8072 lutok 94 0%
#8073 ekhtml 94 0%
#8074 libpipeline 94 0%
#8075 jumppad-labs/repo/jumppad 94 0%
#8076 shivammathur/extensions/apcu@8.4 94 0%
#8077 patrickhoefler/tap/dockerfilegraph 94 0%
#8078 timedog 94 0%
#8079 imxieyi/waifu2x/waifu2x 94 0%
#8080 coinbase/cdpcurl/cdpcurl 94 0%
#8081 kvazaar 94 0%
#8082 slp/krun/krunvm 94 0%
#8083 nathan-v/aws-okta-keyman/aws_okta_keyman 94 0%
#8084 akku 94 0%
#8085 anyquery 94 0%
#8086 chatgpt-cli 94 0%
#8087 duanemay/tap/chatgpt-cli 94 0%
#8088 willswire/tap/teemoji 94 0%
#8089 crf++ 94 0%
#8090 tuist/tuist/tuist@4.14.0 94 0%
#8091 mon 93 0%
#8092 conman 93 0%
#8093 tuist/tuist/tuist@4.32.0 93 0%
#8094 venafi/tap/venctl 93 0%
#8095 darkbrow/repo/libcaca 93 0%
#8096 regex-opt 93 0%
#8097 buildpack/tap/pack 93 0%
#8098 discount 93 0%
#8099 barnardb/cookies/cookies 93 0%
#8100 lazyjj 93 0%
#8101 libiptcdata 93 0%
#8102 glbinding 93 0%
#8103 dirac 93 0%
#8104 meek 93 0%
#8105 crusoecloud/cli/crusoe 93 0%
#8106 conserver 93 0%
#8107 bossa 93 0%
#8108 parca 92 0%
#8109 hay-kot/scaffold-tap/scaffold 92 0%
#8110 rbenv-communal-gems 92 0%
#8111 odbc2parquet 92 0%
#8112 krzko/tap/otelgen 92 0%
#8113 shelltestrunner 92 0%
#8114 dunglas/frankenphp/frankenphp 92 0%
#8115 swiftdocorg/formulae/swift-doc 92 0%
#8116 slrn 92 0%
#8117 tuist/tuist/tuist@4.13.0 92 0%
#8118 hevi 92 0%
#8119 cbfmt 92 0%
#8120 timvw/tap/qv 92 0%
#8121 splint 92 0%
#8122 gcal 92 0%
#8123 redstore 92 0%
#8124 base16384 92 0%
#8125 btpd 92 0%
#8126 taiki-e/tap/parse-changelog 92 0%
#8127 aws-c-mqtt 92 0%
#8128 minamijoyo/tfmigrate/tfmigrate 92 0%
#8129 uptoc 92 0%
#8130 mongodb/brew/mongodb-csfle 92 0%
#8131 open-jtalk 91 0%
#8132 lkrms/misc/pretty-php 91 0%
#8133 uhg-internal/usp/admiral 91 0%
#8134 libart 91 0%
#8135 romnn/tap/cargo-fc 91 0%
#8136 dynomite 91 0%
#8137 primer3 91 0%
#8138 sollya 91 0%
#8139 zboy 91 0%
#8140 homebrew/linux-fonts/font-sauce-code-pro-nerd-font 91 0%
#8141 xcinfo 91 0%
#8142 brewsci/bio/viennarna 91 0%
#8143 stacklok/tap/minder 91 0%
#8144 adamstark-audiofile 91 0%
#8145 darkbrow/repo/unrar 91 0%
#8146 seexpr 91 0%
#8147 superbrothers/opener/opener 91 0%
#8148 mikmod 91 0%
#8149 disktype 91 0%
#8150 tuist/tuist/tuist@4.17.0 90 0%
#8151 normalize 90 0%
#8152 ncompress 90 0%
#8153 estuary/flowctl/flowctl 90 0%
#8154 catgirl 90 0%
#8155 bootterm 90 0%
#8156 rosco-m68k/toolchain/vasm-all 90 0%
#8157 wxlua 90 0%
#8158 libxres 90 0%
#8159 black-sat/black/hopscotch-map 90 0%
#8160 go-boring 90 0%
#8161 falcosecurity-libs 90 0%
#8162 darkbrow/repo/macvim 90 0%
#8163 lziprecover 90 0%
#8164 brewsci/bio/autodock-vina 90 0%
#8165 stacc/tap/blocc 90 0%
#8166 aggregate 90 0%
#8167 gnu-complexity 90 0%
#8168 clicksandmortar/launchpad/launchpad 90 0%
#8169 karyakampak/besign/besign 90 0%
#8170 brewsci/bio/clustal-w 90 0%
#8171 pyroscope-io/brew/profilecli 90 0%
#8172 gel 90 0%
#8173 na-trium-144/webcface/webcface-tools 89 0%
#8174 avra 89 0%
#8175 hashicorp/tap/nomad-enterprise 89 0%
#8176 ruby-lsp 89 0%
#8177 dart-lang/dart/dart@3.5.3 89 0%
#8178 cubeb 89 0%
#8179 muter-mutation-testing/formulae/muter 89 0%
#8180 lawrencegripper/tap/azbrowse 89 0%
#8181 comfy-org/comfy-cli/comfy-cli 89 0%
#8182 tmpmail 89 0%
#8183 codekitchen/dinghy/dinghy 89 0%
#8184 permify/tap/permify 89 0%
#8185 cooklang/tap/cook 89 0%
#8186 shivammathur/php/php@7.0-zts 89 0%
#8187 ocrad 89 0%
#8188 dldash/core/docker-color-output 89 0%
#8189 shivammathur/extensions/igbinary@8.1 89 0%
#8190 iina/mpv-iina/ffmpeg-iina 89 0%
#8191 duff 89 0%
#8192 brewsci/bio/sepp 89 0%
#8193 pngnq 89 0%
#8194 valijson 89 0%
#8195 kevemueller/ksysroot/apk 89 0%
#8196 stanc3 89 0%
#8197 poselib 89 0%
#8198 libextractor 88 0%
#8199 rtptools 88 0%
#8200 conduktor/brew/conduktor-cli 88 0%
#8201 libxml++@5 88 0%
#8202 libcap-ng 88 0%
#8203 timsutton/formulae/brew-pkg 88 0%
#8204 darkbrow/repo/w3m 88 0%
#8205 truetree 88 0%
#8206 jozu-ai/kitops/kitops 88 0%
#8207 vtclock 88 0%
#8208 dkanejs/aws-session-manager-plugin/aws-session-manager-plugin 88 0%
#8209 codeberg-cli 88 0%
#8210 pungrumpy/formulas/goblin 88 0%
#8211 arangodb 88 0%
#8212 lesmiscore/my/ytdl-patched 88 0%
#8213 pd3v/line/line 88 0%
#8214 libmobi 88 0%
#8215 icon-naming-utils 88 0%
#8216 avpres/formulae/ffmpeg 88 0%
#8217 robsalasco/libpostal/libpostal 88 0%
#8218 liblxi 88 0%
#8219 shivammathur/php/php@8.2-debug-zts 88 0%
#8220 scaryrawr/formulae/sl 87 0%
#8221 knative-sandbox/kn-plugins/func 87 0%
#8222 gidoichi/tap/yaml-path 87 0%
#8223 termrec 87 0%
#8224 dynamips 87 0%
#8225 ht 87 0%
#8226 libdivide 87 0%
#8227 minidjvu 87 0%
#8228 globjects 87 0%
#8229 wagyu 87 0%
#8230 wemux 87 0%
#8231 moonbase59/tap/loudgain 87 0%
#8232 brewsci/bio/repeatmasker 87 0%
#8233 darkbrow/repo/sc-im 87 0%
#8234 cerbos/tap/cerbos 87 0%
#8235 term 87 0%
#8236 freealut 87 0%
#8237 shihanng/tfvar/tfvar 87 0%
#8238 phrase/brewed/phraseapp 87 0%
#8239 michidk/tools/vscli 87 0%
#8240 spigot 87 0%
#8241 shivammathur/extensions/pcov@8.4 87 0%
#8242 ory/tap/keto 87 0%
#8243 pegtl 87 0%
#8244 mfuentesg/tap/ksd 87 0%
#8245 inchi 87 0%
#8246 ycd/tap/dstp 87 0%
#8247 somagic 87 0%
#8248 let-us-go/zkcli/zkcli 87 0%
#8249 libgrapheme 86 0%
#8250 gitwatch 86 0%
#8251 dvr-scan 86 0%
#8252 cargo-run-bin 86 0%
#8253 configcat/tap/configcat 86 0%
#8254 dum 86 0%
#8255 shivammathur/extensions/imap@8.0 86 0%
#8256 simnalamburt/x/totp 86 0%
#8257 tbvdm/tap/sigbak 86 0%
#8258 shivammathur/extensions/imap@8.4 86 0%
#8259 garnet 86 0%
#8260 shivammathur/php/php@7.0-debug 86 0%
#8261 libbitcoin-node 86 0%
#8262 libdmx 86 0%
#8263 clac 86 0%
#8264 libzen 86 0%
#8265 darkbrow/repo/libsixel 86 0%
#8266 sqtop 86 0%
#8267 bootloadhid 86 0%
#8268 openrr/tap/urdf-viz 86 0%
#8269 kubero-dev/kubero/kubero-cli 86 0%
#8270 mummer 86 0%
#8271 dr-emann/tap/applesauce 86 0%
#8272 aqtinstall 85 0%
#8273 dominikbraun/timetrace/timetrace 85 0%
#8274 libemf2svg 85 0%
#8275 romnn/tap/cargo-watchdoc 85 0%
#8276 darkbrow/repo/libqalculate 85 0%
#8277 cartr/qt4/pyqt@4 85 0%
#8278 microsoft/mssql-preview/msodbcsql 85 0%
#8279 tuisky 85 0%
#8280 swfmill 85 0%
#8281 contiki-ng/contiki-ng-arm/contiki-ng-arm-gcc-bin 85 0%
#8282 bezhermoso/taps/fortune-dune 85 0%
#8283 brewsci/bio/mcl 85 0%
#8284 fragroute 85 0%
#8285 aws-c-sdkutils 85 0%
#8286 pixiewps 85 0%
#8287 blackfireio/blackfire/blackfire-php80 85 0%
#8288 casacore/tap/casacore 85 0%
#8289 fastq-tools 85 0%
#8290 nift 85 0%
#8291 shivammathur/extensions/mongodb@7.2 85 0%
#8292 petere/postgresql/postgresql@9.6 85 0%
#8293 versitygw 85 0%
#8294 lastz 85 0%
#8295 owamp 85 0%
#8296 gidoichi/tap/asdf-match 85 0%
#8297 michaelhenry/envject/envject 85 0%
#8298 jfryy/tap/qq 85 0%
#8299 newlisp 85 0%
#8300 docbook2x 85 0%
#8301 abduco 85 0%
#8302 mbelib 85 0%
#8303 asm6809 85 0%
#8304 shivammathur/extensions/msgpack@8.2 84 0%
#8305 catppuccin/tap/whiskers 84 0%
#8306 dfmt 84 0%
#8307 mmdbctl 84 0%
#8308 avahi 84 0%
#8309 damenly/virt-manager/virt-viewer 84 0%
#8310 digitalspacestdio/php/php73 84 0%
#8311 bv-brc-cli 84 0%
#8312 airbrake/airbrake-cli/airbrake 84 0%
#8313 defenseunicorns/tap/uds@0.11.1 84 0%
#8314 lol-html 84 0%
#8315 arb-project/arb/arb 84 0%
#8316 acl2s/acl2s/acl2s 84 0%
#8317 vmware-tanzu/kpack-cli/kp 84 0%
#8318 nodenv/nodenv/node-build-update-defs 84 0%
#8319 ccfits 84 0%
#8320 git-number 84 0%
#8321 r2r 84 0%
#8322 milaboratory/all/mixcr 84 0%
#8323 xa 84 0%
#8324 wildmidi 84 0%
#8325 cksfv 84 0%
#8326 mitre/saf/saf-cli 84 0%
#8327 charmbracelet/tap/glow 84 0%
#8328 cisco-open/tap/fsoc 84 0%
#8329 prism-cli 84 0%
#8330 psc-package 83 0%
#8331 minio/stable/kes 83 0%
#8332 suzuki-shunsuke/ghalint/ghalint 83 0%
#8333 spotify/public/gcs-avro-tools 83 0%
#8334 flother/taps/spreet 83 0%
#8335 draftbrew/tap/ducker 83 0%
#8336 shivammathur/extensions/mcrypt@7.1 83 0%
#8337 ilpincy/argos3/argos3 83 0%
#8338 bgrep 83 0%
#8339 osrf/simulation/gz-sim10 83 0%
#8340 osx-cross/avr/avr-gcc@11 83 0%
#8341 bdftopcf 83 0%
#8342 cargo-deps 83 0%
#8343 cmusfm 83 0%
#8344 brewsci/bio/trinity 83 0%
#8345 shivammathur/extensions/swoole@7.4 83 0%
#8346 paperjam 83 0%
#8347 alac 83 0%
#8348 jthread 83 0%
#8349 fizsh 83 0%
#8350 bender 83 0%
#8351 cdalvaro/tap/salt 83 0%
#8352 cspice 83 0%
#8353 runtimeverification/k/kframework 83 0%
#8354 shivammathur/extensions/memcached@8.1 83 0%
#8355 rink 83 0%
#8356 ddate 83 0%
#8357 ikebastuz/wiper/wiper 83 0%
#8358 bytewax/tap/waxctl 82 0%
#8359 libfixposix 82 0%
#8360 runitor 82 0%
#8361 sentry-native 82 0%
#8362 scs 82 0%
#8363 camerondurham/tap/ch 82 0%
#8364 libcutl 82 0%
#8365 eso/pipelines/esopipe-uves-recipes 82 0%
#8366 traildb/judy/judy 82 0%
#8367 bgpq3 82 0%
#8368 future-architect/tap/tftarget 82 0%
#8369 pktanon 82 0%
#8370 yakitrak/yakitrak/obs 82 0%
#8371 align 82 0%
#8372 ksoclabs/kbom/kbom 82 0%
#8373 odt2txt 82 0%
#8374 aident-ai/tap/open-cuak 82 0%
#8375 speedread 82 0%
#8376 pystring 82 0%
#8377 libflowmanager 82 0%
#8378 mruby 82 0%
#8379 salilab/salilab/rmf 82 0%
#8380 magalucloud/mgccli/mgccli 82 0%
#8381 superhtml 82 0%
#8382 nmh 82 0%
#8383 libxpresent 82 0%
#8384 codevis 82 0%
#8385 msktutil 81 0%
#8386 sebsto/macos/xcodeinstall 81 0%
#8387 titlecase 81 0%
#8388 netsurf-buildsystem 81 0%
#8389 tenere 81 0%
#8390 tevent 81 0%
#8391 tofuutils/tap/tofuenv 81 0%
#8392 chiko 81 0%
#8393 z80asm 81 0%
#8394 has 81 0%
#8395 kafkactl 81 0%
#8396 uw-labs/tap/strongbox 81 0%
#8397 abi-compliance-checker 81 0%
#8398 libtecla 81 0%
#8399 libresample 81 0%
#8400 rmw 81 0%
#8401 blackfireio/blackfire/blackfire-php83 81 0%
#8402 cargo-hack 81 0%
#8403 shivammathur/php/php@7.3-zts 81 0%
#8404 libslax 80 0%
#8405 cgoban 80 0%
#8406 messense/macos-cross-toolchains/armv7-unknown-linux-musleabihf 80 0%
#8407 vip 80 0%
#8408 openresty/brew/openresty-debug 80 0%
#8409 inja 80 0%
#8410 speedscale/tap/speedctl 80 0%
#8411 shivammathur/extensions/memcached@8.0 80 0%
#8412 wezterm/wezterm-linuxbrew/wezterm 80 0%
#8413 emergetools/tap/ettrace 80 0%
#8414 esnet/gdg/gdg 80 0%
#8415 shshemi/tabiew/tabiew 80 0%
#8416 shivammathur/extensions/grpc@8.0 80 0%
#8417 microsoft/mssql-release/mssql-tools@17.0.1.1 80 0%
#8418 thrift@0.9 80 0%
#8419 darkhttpd 80 0%
#8420 pax 80 0%
#8421 jsmin 80 0%
#8422 nextjournal/brew/garden 80 0%
#8423 gouz/tools/slidesk 80 0%
#8424 kertish-dfs 80 0%
#8425 kytea 80 0%
#8426 costerwi/calculix/calculix-ccx 80 0%
#8427 tuist/tuist/tuist@4.21.1 80 0%
#8428 lucassabreu/tap/clockify-cli 80 0%
#8429 kopia/kopia/kopia 79 0%
#8430 cesanta/mos/mos 79 0%
#8431 emmercm/igir/igir 79 0%
#8432 easytocloud/tap/sso-tools 79 0%
#8433 kubetrim 79 0%
#8434 mthmulders/tap/mcs 79 0%
#8435 libsigsegv 79 0%
#8436 qbec 79 0%
#8437 cdecl 79 0%
#8438 mutagen-io/mutagen/mutagen-compose-beta 79 0%
#8439 gauth 79 0%
#8440 pcaudiolib 79 0%
#8441 bpm-tools 79 0%
#8442 habitat-sh/habitat/hab 79 0%
#8443 shivammathur/extensions/rdkafka@8.2 79 0%
#8444 bun 79 0%
#8445 aaronraimist/tap/gomuks 79 0%
#8446 mrtrix3/mrtrix3/mrtrix3 79 0%
#8447 dcd 78 0%
#8448 bayandin/tap/neon-postgres 78 0%
#8449 backupninja 78 0%
#8450 sidaf/pentest/crackmapexec 78 0%
#8451 azhuchkov/tools/tunblkctl 78 0%
#8452 qovery/replibyte/replibyte 78 0%
#8453 mussh 78 0%
#8454 shivammathur/extensions/amqp@8.2 78 0%
#8455 blink1 78 0%
#8456 tcpkali 78 0%
#8457 typesense/tap/typesense-server@28.0 78 0%
#8458 pothosware/pothos/soapyairspy 78 0%
#8459 microsoft/mssql-release/msodbcsql@13.1.7.0 78 0%
#8460 posh 78 0%
#8461 libcddb 78 0%
#8462 littlehorse-enterprises/lh/lhctl 78 0%
#8463 homebrew/linux-fonts/font-iosevka 78 0%
#8464 robotology/formulae/ode 78 0%
#8465 ska-sa/tap/casacore 78 0%
#8466 distribution 78 0%
#8467 danger/tap/danger-kotlin 78 0%
#8468 mp3unicode 78 0%
#8469 freecad/freecad/pyside2@5.15.5 77 0%
#8470 spicetify/tap/spicetify-cli 77 0%
#8471 shivammathur/extensions/pecl_http@8.3 77 0%
#8472 azurehound 77 0%
#8473 hubflow 77 0%
#8474 uenob/qemu-hvf/qemu-hvf 77 0%
#8475 xkbcomp 77 0%
#8476 caarlos0/tap/org-stats 77 0%
#8477 pciutils 77 0%
#8478 xorgrgb 77 0%
#8479 gromgit/fuse/ext2fuse-mac 77 0%
#8480 chipmunk 77 0%
#8481 shivammathur/extensions/mcrypt@7.0 77 0%
#8482 kore 77 0%
#8483 tkhq/tap/turnkey 77 0%
#8484 libnxml 77 0%
#8485 libffcall 77 0%
#8486 postgresql-hll 77 0%
#8487 terrastruct/d2/tala 77 0%
#8488 thekevjames/youtube-viewer/youtube-viewer 77 0%
#8489 gorillatech/repo/spm-check-updates 77 0%
#8490 romnn/tap/jsonschema 77 0%
#8491 mingrammer/flog/flog 77 0%
#8492 shivammathur/extensions/swoole@8.0 77 0%
#8493 box-project/box/box 77 0%
#8494 splunk/tap/scloud 77 0%
#8495 grap 77 0%
#8496 shivammathur/extensions/xdebug@7.3 77 0%
#8497 amdatu-bootstrap 77 0%
#8498 netris 77 0%
#8499 no-more-secrets 77 0%
#8500 hahwul/dalfox/dalfox 77 0%
#8501 dsvpn 76 0%
#8502 x-cmd 76 0%
#8503 morpho-lang/morpho/morpho 76 0%
#8504 brewsci/bio/mash 76 0%
#8505 fahasch/formulae/python-kwant 76 0%
#8506 lhasa 76 0%
#8507 arroyosystems/tap/arroyo 76 0%
#8508 iptables 76 0%
#8509 daytonaio/daytona/daytona 76 0%
#8510 pen-lang/pen/pen 76 0%
#8511 scalaenv 76 0%
#8512 lingrino/tap/vaku 76 0%
#8513 applanga/cli/applanga 76 0%
#8514 stormlib 76 0%
#8515 xcb-util-renderutil 76 0%
#8516 libyubikey 76 0%
#8517 safedep/tap/vet 76 0%
#8518 lightning 76 0%
#8519 mkclean 76 0%
#8520 rukenshia/repo/saml2aws-auto 76 0%
#8521 garfieldius/taps/t3ll 76 0%
#8522 spiped 76 0%
#8523 bibutils 76 0%
#8524 tokyo-cabinet 76 0%
#8525 ivaquero/chinese/bbdown 76 0%
#8526 sttz/tap/install-unity 76 0%
#8527 obliviousai/oblv/oblv 76 0%
#8528 homebrew/linux-fonts/font-jetbrains-mono 76 0%
#8529 kdabir/tap/has 76 0%
#8530 gphotosuploader/tap/gphotos-uploader-cli 76 0%
#8531 beam-cloud/beam/beam 76 0%
#8532 lexbor 76 0%
#8533 khanhas/tap/spicetify-cli 75 0%
#8534 jbreckmckye/formulae/daylight 75 0%
#8535 brewsci/bio/mlst 75 0%
#8536 packcc 75 0%
#8537 shivammathur/extensions/grpc@7.2 75 0%
#8538 libdrawtext 75 0%
#8539 rafaelgarrido/caveats/brew-caveats 75 0%
#8540 pothosware/pothos/soapyredpitaya 75 0%
#8541 terraform-rover 75 0%
#8542 toml2json 75 0%
#8543 tracebox 75 0%
#8544 sse2neon 75 0%
#8545 cowsay-org/cowsay/cowsay-apj 75 0%
#8546 zscaler/tap/zscaler-terraformer 75 0%
#8547 bgpdump 75 0%
#8548 braid 75 0%
#8549 gromgit/fuse/gocryptfs-mac 75 0%
#8550 consensys/teku/teku 75 0%
#8551 jaguar 75 0%
#8552 bgpkit/tap/monocle 75 0%
#8553 taylormonacelli/tools/cakepalm 75 0%
#8554 brewsci/bio/raxml 75 0%
#8555 taylormonacelli/tools/cloudelf 75 0%
#8556 libfontenc 75 0%
#8557 pwnat 75 0%
#8558 bombardier 75 0%
#8559 directx-headers 75 0%
#8560 libkeyfinder 74 0%
#8561 j178/tap/chatgpt 74 0%
#8562 etsh 74 0%
#8563 proxyfor 74 0%
#8564 domoritz/tap/csv2parquet 74 0%
#8565 ntbtls 74 0%
#8566 flutterando/slidy/slidy 74 0%
#8567 teamlumos/tap/lumos 74 0%
#8568 python-pyparsing 74 0%
#8569 ipv6toolkit 74 0%
#8570 fluxcd/tap/flux@2.3 74 0%
#8571 blacknon/hwatch/hwatch 74 0%
#8572 fastfec 74 0%
#8573 joinself/tap/self-sdk 74 0%
#8574 cvsutils 74 0%
#8575 petere/postgresql/postgresql-common 74 0%
#8576 v2ray-plugin 74 0%
#8577 sby 74 0%
#8578 golift/mugs/unpoller 74 0%
#8579 rmate 74 0%
#8580 tuist/tuist/tuist@4.43.0 74 0%
#8581 brewsci/bio/edirect 74 0%
#8582 newnode 74 0%
#8583 libstxxl 74 0%
#8584 shivammathur/extensions/igbinary@8.2 74 0%
#8585 morpheus-lab/morpheus-versions/morpheus-beta 74 0%
#8586 nikaro/tap/goresume 74 0%
#8587 conductorone/baton/baton 74 0%
#8588 libtickit 74 0%
#8589 digitalspacestdio/php/php70 74 0%
#8590 petere/postgresql/postgresql@14 74 0%
#8591 rinetd 74 0%
#8592 freecad/freecad/freecad@0.21.2_py310 74 0%
#8593 automysqlbackup 74 0%
#8594 lsusb-laniksj 73 0%
#8595 anoma/juvix/juvix 73 0%
#8596 joerdav/xc/xc 73 0%
#8597 liboping 73 0%
#8598 nave 73 0%
#8599 languagetool-rust 73 0%
#8600 thestormforge/tap/stormforge 73 0%
#8601 tuist/tuist/tuist@3.18.0 73 0%
#8602 shivammathur/php/php@7.1-zts 73 0%
#8603 digitalspacestdio/php/php81 73 0%
#8604 sidaf/pentest/impacket 73 0%
#8605 gotz 73 0%
#8606 sblim-sfcc 73 0%
#8607 incident-io/taps/catalog-importer 73 0%
#8608 johnhcc/gs-x11/gv 73 0%
#8609 dvd+rw-tools 73 0%
#8610 conky-for-macos/formulae/conky 73 0%
#8611 defenseunicorns/tap/uds@0.4.1 73 0%
#8612 brewsci/bio/trimal 73 0%
#8613 htrb/tap/ngraph-gtk 73 0%
#8614 huahaiy/brew/datalevin 73 0%
#8615 davidchall/hep/fastjet 73 0%
#8616 petere/postgresql/postgresql@9.5 73 0%
#8617 brag 73 0%
#8618 epoll-shim 73 0%
#8619 xlispstat 73 0%
#8620 kabel/php-ext/php@8.3-imap 73 0%
#8621 getxbook 73 0%
#8622 rpcgen 73 0%
#8623 tuist/tuist/tuist@4.44.2 73 0%
#8624 nginx/unit/php-embed 73 0%
#8625 oxigraph/oxigraph/oxigraph 73 0%
#8626 shivammathur/extensions/snmp@8.3 72 0%
#8627 nuitka 72 0%
#8628 bayandin/tap/neon-extension 72 0%
#8629 myman 72 0%
#8630 libspnav 72 0%
#8631 passwdqc 72 0%
#8632 homebrew/linux-fonts/font-caskaydia-cove-nerd-font 72 0%
#8633 chakra 72 0%
#8634 chanzuckerberg/tap/aws-oidc 72 0%
#8635 zfdang/free-for-macos/free-for-macos 72 0%
#8636 lrdf 72 0%
#8637 dbxml 72 0%
#8638 libbpg 72 0%
#8639 dart-lang/dart/dart@2.9 72 0%
#8640 rudoapps/gula/gula 72 0%
#8641 flux-subsystem-argo/tap/flamingo 72 0%
#8642 m68k-elf-gcc 72 0%
#8643 dhall-bash 72 0%
#8644 tuist/tuist/tuist@4.32.1 72 0%
#8645 mach-composer/mach-composer/mach-composer 72 0%
#8646 libxxf86dga 72 0%
#8647 cartr/qt4/openssl@1.0 72 0%
#8648 psftools 72 0%
#8649 shivammathur/extensions/yaml@7.4 72 0%
#8650 hss 72 0%
#8651 libtar 72 0%
#8652 pbk20191/trunk/tk-x11 72 0%
#8653 objc-codegenutils 71 0%
#8654 cot 71 0%
#8655 charmbracelet/tap/charm 71 0%
#8656 aws-checksums 71 0%
#8657 kusionstack/tap/kusion 71 0%
#8658 digitalspacestdio/php/php82-common 71 0%
#8659 lwtools 71 0%
#8660 rattler-index 71 0%
#8661 libaribcaption 71 0%
#8662 deus0ww/tap/libplacebo 71 0%
#8663 xc 71 0%
#8664 ekristen/tap/azure-nuke 71 0%
#8665 drud/ddev-edge/ddev 71 0%
#8666 zsh-f-sy-h 71 0%
#8667 mcrypt 71 0%
#8668 timeplus-io/timeplus/proton 71 0%
#8669 brewsci/bio/busco 71 0%
#8670 ggerganov/ggerganov/hnterm 71 0%
#8671 liblerc 71 0%
#8672 pth 71 0%
#8673 dsandwell/insar/gmtsar 71 0%
#8674 shivammathur/extensions/grpc@8.4 71 0%
#8675 brewsci/bio/kat 71 0%
#8676 ghc@9.10 71 0%
#8677 cachewerk/tap/relay 71 0%
#8678 svt/avtools/ffmpeg-encore 71 0%
#8679 quodlibetor/git-tools/git-instafix 71 0%
#8680 single-file 70 0%
#8681 fastgron 70 0%
#8682 libultrahdr 70 0%
#8683 bayandin/tap/neon-storage 70 0%
#8684 cartman-kai/thrift/thrift@0.13 70 0%
#8685 mp3blaster 70 0%
#8686 fzj-jsc/scalasca/cubegui 70 0%
#8687 petere/postgresql/postgresql@15 70 0%
#8688 kcctl/tap/kcctl 70 0%
#8689 pixi-pack 70 0%
#8690 cf4ocl 70 0%
#8691 techouse/sqlite3-to-mysql/sqlite3-to-mysql 70 0%
#8692 ladislavb/therion/therion 70 0%
#8693 webfs 70 0%
#8694 smokris/getwindowid/getwindowid 70 0%
#8695 viamrobotics/brews/canon 70 0%
#8696 yetris 70 0%
#8697 burnt-labs/xion/xiond@9.0.0 70 0%
#8698 ldb 70 0%
#8699 abhinavsns/openfpm/libhilbert 70 0%
#8700 jsontypedef/jsontypedef/jtd-codegen 70 0%
#8701 messense/macos-cross-toolchains/arm-unknown-linux-gnueabi 70 0%
#8702 homebrew-zathura/zathura/zathura-pdf-mupdf 70 0%
#8703 onioncat 70 0%
#8704 defenseunicorns/tap/uds@0.25.0 70 0%
#8705 carlosqsilva/brew/vfetch 70 0%
#8706 abhinavsns/openfpm/algoim 70 0%
#8707 cartman-kai/thrift/thrift@0.16 70 0%
#8708 metashell 70 0%
#8709 jshon 70 0%
#8710 xk6 70 0%
#8711 deb-sig/tap/double-entry-generator 70 0%
#8712 macoscontainers/formula/dockerd 70 0%
#8713 libdiscid 70 0%
#8714 hexer 70 0%
#8715 systemmanic/yawsso/yawsso 70 0%
#8716 techouse/mysql-to-sqlite3/mysql-to-sqlite3 70 0%
#8717 gzrt 70 0%
#8718 octopusdeploy/taps/octopuscli 70 0%
#8719 alrocar/clockr-agent/clockr-agent 69 0%
#8720 ludusavi 69 0%
#8721 hekmekk/git-team/git-team 69 0%
#8722 vrpn 69 0%
#8723 pomdtr/tap/sunbeam 69 0%
#8724 cbmbasic 69 0%
#8725 andreasgrill/utils/auto-selfcontrol 69 0%
#8726 avpres/formulae/openltfs 69 0%
#8727 pivotal/tap/pivnet-cli 69 0%
#8728 libfyaml 69 0%
#8729 mcpp 69 0%
#8730 nesfab 69 0%
#8731 screenplaydev/tap/graphite 69 0%
#8732 how2terminal/how2/how2 69 0%
#8733 go-musicfox/go-musicfox/go-musicfox 69 0%
#8734 brewsci/bio/freebayes 69 0%
#8735 fzj-jsc/scalasca/cubew 69 0%
#8736 peg 69 0%
#8737 funzzy 69 0%
#8738 tuist/tuist/tuist@4.44.3 69 0%
#8739 mkp224o 69 0%
#8740 chanzuckerberg/tap/fogg 69 0%
#8741 spice 69 0%
#8742 fullscript/tools/vcluster 69 0%
#8743 tailcallhq/tailcall/tailcall 68 0%
#8744 tgragnato/tap/terraform 68 0%
#8745 arturo 68 0%
#8746 libxfont 68 0%
#8747 prover9 68 0%
#8748 audio_visualizer 68 0%
#8749 brewsci/bio/phyx 68 0%
#8750 crash 68 0%
#8751 apng2gif 68 0%
#8752 tang 68 0%
#8753 rajatjindal/tap/modify-secret 68 0%
#8754 david0/audio/calf 68 0%
#8755 brewsci/bio/vt 68 0%
#8756 maoueh/tap/zap-pretty 68 0%
#8757 spytrap-adb 68 0%
#8758 shivammathur/extensions/pecl_http@8.2 68 0%
#8759 memcache-top 68 0%
#8760 nickle 68 0%
#8761 multitime 68 0%
#8762 azure/draft/draft 68 0%
#8763 simple-obfs 68 0%
#8764 beryju/tap/korb 68 0%
#8765 serveit 68 0%
#8766 numworks/tap/epsilon-sdk 68 0%
#8767 abhinavsns/openfpm/blitz 68 0%
#8768 jakob-bagterp/browserist/browserist 68 0%
#8769 radxa/picocom/picocom 68 0%
#8770 libaio 68 0%
#8771 grafana-alloy 68 0%
#8772 bacon-ls 68 0%
#8773 jippi/tap/dottie 68 0%
#8774 libformfactor 68 0%
#8775 libtrng 68 0%
#8776 tuist/tuist/tuist@4.12.1 68 0%
#8777 simonw/llm/ttok 68 0%
#8778 selecta 67 0%
#8779 carvel-dev/carvel/kwt 67 0%
#8780 houmain/tap/keymapper 67 0%
#8781 synchrony 67 0%
#8782 lgrees/lgrees/resy 67 0%
#8783 mosra/magnum/magnum 67 0%
#8784 freecad/freecad/swig@4.0.2 67 0%
#8785 osrf/simulation/sdformat6 67 0%
#8786 pce 67 0%
#8787 felixkratz/formulae/fyabai 67 0%
#8788 kmod 67 0%
#8789 pusher/brew/pusher 67 0%
#8790 willgit 67 0%
#8791 eso/pipelines/esopipe-uves 67 0%
#8792 osrf/simulation/gz-gui10 67 0%
#8793 hashicorp/tap/hcdiag 67 0%
#8794 ios-class-guard 67 0%
#8795 streamdal/public/plumber 67 0%
#8796 na-trium-144/webcface/webcface@2 67 0%
#8797 aws-c-compression 67 0%
#8798 messense/macos-cross-toolchains/i686-unknown-linux-gnu 67 0%
#8799 jarun/imgp/imgp 67 0%
#8800 tclass/cloud_sql_proxy/cloud_sql_proxy 67 0%
#8801 knocklabs/tap/knock 67 0%
#8802 homebrew/linux-fonts/font-inconsolata 67 0%
#8803 aconchillo/guile/guile-next 67 0%
#8804 gloox 67 0%
#8805 knight42/tap/krelay 67 0%
#8806 joschi/dive/dive 67 0%
#8807 rtf2latex2e 67 0%
#8808 mariadb@10.9 67 0%
#8809 shivammathur/extensions/pecl_http@7.4 67 0%
#8810 zmqpp 67 0%
#8811 digitalspacestdio/php/php82 67 0%
#8812 gcenx/wine/libinotify-kqueue 66 0%
#8813 pgrx 66 0%
#8814 volatility3 66 0%
#8815 cirruslabs/cli/sshpass 66 0%
#8816 proshunsuke/colmsg/colmsg 66 0%
#8817 jim928/mace/mace 66 0%
#8818 iozone 66 0%
#8819 magus/git-stack/git-stack 66 0%
#8820 davidchall/hep/rivet 66 0%
#8821 digitalspacestdio/php/php83-mongodb 66 0%
#8822 digitalspacestdio/php/php83 66 0%
#8823 tuist/tuist/tuist@4.18.0 66 0%
#8824 pachyderm/tap/pachctl@2.10 66 0%
#8825 fastbuild 66 0%
#8826 ktemkin/oss-fpga/nextpnr-ice40 66 0%
#8827 one-ml 66 0%
#8828 shivammathur/extensions/protobuf@8.4 66 0%
#8829 ytt 66 0%
#8830 blu3beri/libindy/libindy 66 0%
#8831 pkgxdev/made/pkgm 66 0%
#8832 digitalspacestdio/php/php74-xdebug 66 0%
#8833 cconv 66 0%
#8834 qbe 66 0%
#8835 fcitx-remote-for-osx 66 0%
#8836 tuist/tuist/tuist@4.16.1 66 0%
#8837 openhmd 66 0%
#8838 coda-cli 66 0%
#8839 slugify 66 0%
#8840 solacelabs/stm/stm 66 0%
#8841 aften 66 0%
#8842 digitecgalaxus/dg/dg-cli 66 0%
#8843 libbitcoin-client 65 0%
#8844 mickeyl/formulae/core-bluetooth-tool 65 0%
#8845 ocproxy 65 0%
#8846 zendesk/devops/cicd-cli 65 0%
#8847 kishaningithub/tap/tf-import-gen 65 0%
#8848 osrf/simulation/ignition-common1 65 0%
#8849 httpry 65 0%
#8850 gokart 65 0%
#8851 tunneltodev/tap/tunnelto 65 0%
#8852 jupytext 65 0%
#8853 shivammathur/extensions/snmp@8.5 65 0%
#8854 aws/tap/ec2-metadata-mock 65 0%
#8855 jakob-stoeck/unacceptable/wget2 65 0%
#8856 mxlgv/dino/dino 65 0%
#8857 launchdns 65 0%
#8858 libnova 65 0%
#8859 platformplane/tap/console 65 0%
#8860 gdtoolkit 65 0%
#8861 aurc/loggo/loggo 65 0%
#8862 mkfontscale 65 0%
#8863 tgragnato/tap/magnetico 65 0%
#8864 ratify 65 0%
#8865 dlr-ts/sumo/sumo@1.8.0 65 0%
#8866 todoist 65 0%
#8867 poster 65 0%
#8868 pachyderm/tap/pachctl 65 0%
#8869 exodriver 65 0%
#8870 neatvi 65 0%
#8871 digitalspacestdio/php/php81-common 64 0%
#8872 hollow-frenk/mangayomi/mangayomi 64 0%
#8873 json2hcl 64 0%
#8874 virgil 64 0%
#8875 get-flash-videos 64 0%
#8876 brewsci/bio/relion 64 0%
#8877 brewsci/bio/wiggletools 64 0%
#8878 kojix2/brew/chatgpt-cli 64 0%
#8879 shivammathur/php/php@5.6-debug-zts 64 0%
#8880 vmtouch 64 0%
#8881 glbinding@2 64 0%
#8882 acme.sh 64 0%
#8883 nutanix/tap/kubectl-karbon 64 0%
#8884 shivammathur/php/php@7.4-debug-zts 64 0%
#8885 skymaker 64 0%
#8886 dockerfilegraph 64 0%
#8887 passt 64 0%
#8888 libdom 64 0%
#8889 yannjor/krabby/krabby 64 0%
#8890 mypaint-brushes 64 0%
#8891 vgt 64 0%
#8892 vcdimager 64 0%
#8893 digitalspacestdio/php/php56-intl 64 0%
#8894 fujiwara/tap/awslim 64 0%
#8895 digitalspacestdio/php/php74-intl 64 0%
#8896 rapidyaml 64 0%
#8897 jvm-mon 64 0%
#8898 cosmojg/tap/doc2docx 64 0%
#8899 snapraid 64 0%
#8900 abhinavsns/openfpm/parmetis 64 0%
#8901 taylormonacelli/tools/mylime 64 0%
#8902 taylormonacelli/tools/irisqueen 64 0%
#8903 satoricyber/satori-cli/satori_cli 63 0%
#8904 shivammathur/extensions/mongodb@5.6 63 0%
#8905 snobol4 63 0%
#8906 lm4tools 63 0%
#8907 repren 63 0%
#8908 osx-cross/avr/avr-gcc@12 63 0%
#8909 hashicorp/tap/hc-install 63 0%
#8910 sitefetch 63 0%
#8911 appcd-dev/appcd/appcd 63 0%
#8912 taylormonacelli/tools/eachit 63 0%
#8913 konveyor/move2kube/move2kube 63 0%
#8914 tgragnato/tap/amule 63 0%
#8915 sidneys/homebrew/chntpw 63 0%
#8916 jlp04/homebrew/clamtk 63 0%
#8917 shivammathur/extensions/msgpack@8.0 63 0%
#8918 schroedinger 63 0%
#8919 go-testfixtures/tap/testfixtures 63 0%
#8920 ngs 63 0%
#8921 tzdiff 63 0%
#8922 shivammathur/extensions/amqp@7.4 63 0%
#8923 shivammathur/extensions/mcrypt@8.3 63 0%
#8924 vile 63 0%
#8925 petere/postgresql/postgresql@12 63 0%
#8926 fusionlanguage/fut/fut 63 0%
#8927 vpcs 63 0%
#8928 spotify/public/gcs-proto-tools 63 0%
#8929 trader 63 0%
#8930 mksh 62 0%
#8931 bensadeh/circumflex/circumflex 62 0%
#8932 juraj-hrivnak/pakku/pakku 62 0%
#8933 domoapps/ryuu/domo 62 0%
#8934 cody 62 0%
#8935 shivammathur/extensions/imap@7.2 62 0%
#8936 skypjack/entt/entt 62 0%
#8937 preslavmihaylov/taps/todocheck 62 0%
#8938 twelvelabs/tap/schemadoc 62 0%
#8939 petere/postgresql/postgresql@17 62 0%
#8940 kadena-io/pact/pact 62 0%
#8941 pulledpork 62 0%
#8942 t-completion 62 0%
#8943 lanthora/repo/candy 62 0%
#8944 gromgit/fuse/squashfuse-mac 62 0%
#8945 pkl-lsp 62 0%
#8946 mdt 62 0%
#8947 zaquestion/tap/lab 62 0%
#8948 erigon 62 0%
#8949 lajosdeme/utils/xcclear 62 0%
#8950 cmix 62 0%
#8951 osrf/simulation/gz-jetty 62 0%
#8952 adaptivecpp 62 0%
#8953 dex 62 0%
#8954 shivammathur/extensions/pcov@8.1 62 0%
#8955 cargo-cyclonedx 62 0%
#8956 hyperspec 62 0%
#8957 jaconi-io/tap/flux-envsubst 61 0%
#8958 jckuester/tap/awsls 61 0%
#8959 pothosware/pothos/soapyhackrf 61 0%
#8960 cossacklabs/tap/libthemis 61 0%
#8961 ggh 61 0%
#8962 csvprintf 61 0%
#8963 rgst-io/tap/stencil 61 0%
#8964 imageworsener 61 0%
#8965 hhatto/pgmagick/pgmagick 61 0%
#8966 leoafarias/fvm/fvm@3.1.3 61 0%
#8967 cloudfoundry/tap/cf-cli@6 61 0%
#8968 desk 61 0%
#8969 taskcluster/tap/taskcluster 61 0%
#8970 owasp-amass/amass/amass 61 0%
#8971 ftnchek 61 0%
#8972 git-mob 61 0%
#8973 graphql-inspector 61 0%
#8974 cartman-kai/thrift/thrift@0.10 61 0%
#8975 shivammathur/extensions/memcache@8.3 61 0%
#8976 davidchall/hep/pythia 61 0%
#8977 gsan 61 0%
#8978 sashkab/python/python@3.7 61 0%
#8979 loyalsoldier-geoip 61 0%
#8980 strongdm/comply/comply 61 0%
#8981 redka 61 0%
#8982 tasanakorn/esp32/xtensa-esp32-elf 61 0%
#8983 aescrypt-packetizer 60 0%
#8984 bcal 60 0%
#8985 fuse-overlayfs 60 0%
#8986 sorenisanerd/gotty/gotty 60 0%
#8987 michael-amiethyst/bashpile/bashpile 60 0%
#8988 rancid 60 0%
#8989 somagic-tools 60 0%
#8990 brewsci/bio/fastani 60 0%
#8991 brewsci/bio/infernal 60 0%
#8992 tj-actions/tap/auto-doc 60 0%
#8993 id3ed 60 0%
#8994 cidrmerge 60 0%
#8995 arelo 60 0%
#8996 zsh-history-enquirer 60 0%
#8997 cdsclient 60 0%
#8998 shivammathur/extensions/protobuf@8.0 60 0%
#8999 abhinavsns/openfpm/openfpm 60 0%
#9000 maven-shell 60 0%
#9001 clintmod/formulas/macprefs 60 0%
#9002 hdt 60 0%
#9003 scryer-prolog 60 0%
#9004 sparkey 60 0%
#9005 fwdekker/mommy/mommy 60 0%
#9006 fpdns 60 0%
#9007 phasehq/cli/phase 60 0%
#9008 docker/internal/submarine 60 0%
#9009 git-fresh 60 0%
#9010 atf 60 0%
#9011 xvxx/code/phetch 60 0%
#9012 marcqualie/nginx/nginx-full 60 0%
#9013 digitalspacestdio/php/php83-common 60 0%
#9014 fpm 59 0%
#9015 rem 59 0%
#9016 libmusicbrainz 59 0%
#9017 lci 59 0%
#9018 sergeycherepanov/docker-virtualbox/docker-virtualbox 59 0%
#9019 lcdproc 59 0%
#9020 sfsexp 59 0%
#9021 timescaledb/tap/timescaledb 59 0%
#9022 depqbf 59 0%
#9023 cern-ndiff 59 0%
#9024 overdrive 59 0%
#9025 python-cryptography 59 0%
#9026 pr-pilot-ai/tap/pr-pilot-cli 59 0%
#9027 cloudfoundry/tap/uaa-cli 59 0%
#9028 clog 59 0%
#9029 foma 59 0%
#9030 coin-or-tools/coinor/coinutils 59 0%
#9031 corral 59 0%
#9032 bucketplace/platform/mortar 59 0%
#9033 azure-storage-common-cpp 59 0%
#9034 yudai/gotty/gotty 59 0%
#9035 linklint 59 0%
#9036 pmccabe 59 0%
#9037 blahtexml 59 0%
#9038 digitalspacestdio/php/php81-mongodb 59 0%
#9039 jwbargsten/misc/defbro 59 0%
#9040 libdivecomputer 59 0%
#9041 opal 59 0%
#9042 sidaf/pentest/responder 59 0%
#9043 shivammathur/extensions/imap@7.3 59 0%
#9044 metanorma/metanorma/metanorma 59 0%
#9045 hahwul/jwt-hack/jwt-hack 59 0%
#9046 rdate 59 0%
#9047 haste-client 59 0%
#9048 osrf/simulation/gz-launch9 59 0%
#9049 skalt/git-cc/git-cc 59 0%
#9050 shivammathur/extensions/pecl_http@8.1 59 0%
#9051 nginx/unit/unit-ruby 59 0%
#9052 mpssh 59 0%
#9053 netheril96/fuse/securefs-mac 59 0%
#9054 avencera/tap/rustywind 59 0%
#9055 ruler 59 0%
#9056 sdl_image 59 0%
#9057 purplebooth/repo/whatismyip 59 0%
#9058 hynek/tap/doc2dash 59 0%
#9059 cpcready/cpcready/cpcready 59 0%
#9060 lkrms/misc/gp-saml-gui 59 0%
#9061 kazuakiyama/difmap/difmap 59 0%
#9062 fann 59 0%
#9063 rzip 59 0%
#9064 roots/tap/trellis-cli-dev 59 0%
#9065 rpds-py 59 0%
#9066 otel-cli 58 0%
#9067 dart-lang/dart/dart@3.0.0 58 0%
#9068 holek/rcon/rcon 58 0%
#9069 ahcpd 58 0%
#9070 clingabomino 58 0%
#9071 unused-code/formulae/unused 58 0%
#9072 soracom/soracom-cli/soracom-cli 58 0%
#9073 draftbrew/tap/focus-converter 58 0%
#9074 ekristen/tap/aws-nuke@3 58 0%
#9075 possatti/possatti/pokemonsay 58 0%
#9076 digitalspacestdio/php/php74-common 58 0%
#9077 mbw 58 0%
#9078 alexanderwillner/tap/things.sh 58 0%
#9079 opendbx 58 0%
#9080 libunwind 58 0%
#9081 rails-new 58 0%
#9082 ntfs-3g 58 0%
#9083 brewsci/bio/bali-phy 58 0%
#9084 brotherbui/homebrew/sapp 58 0%
#9085 gws 58 0%
#9086 shivammathur/extensions/rdkafka@8.1 58 0%
#9087 cs107e/cs107e/riscv-gnu-toolchain-13 58 0%
#9088 shivammathur/extensions/amqp@8.1 58 0%
#9089 lttng-ust 58 0%
#9090 joshdk/tap/aws-console 58 0%
#9091 cityhash 58 0%
#9092 justinschuldt/virt-manager/virt-viewer 58 0%
#9093 sontek/snowmachine/snowmachine 58 0%
#9094 databendcloud/tap/bendsql 58 0%
#9095 russellbanks/tap/komac 58 0%
#9096 pivotal/tap/git-together 58 0%
#9097 juju-wait 58 0%
#9098 libsidplayfp 58 0%
#9099 mighty-gerbils/gerbil/gerbil-scheme 58 0%
#9100 rwx-research/tap/mint 58 0%
#9101 ifuse 58 0%
#9102 vaticle/tap/typedb 58 0%
#9103 tpl 58 0%
#9104 gtmess 58 0%
#9105 blazegraph 57 0%
#9106 soundscaperenderer/ssr/ssr 57 0%
#9107 aws/tap/cbmc-viewer 57 0%
#9108 odo 57 0%
#9109 libbpf 57 0%
#9110 tedbauer/up/up 57 0%
#9111 rabarbra/grub/x86_64-elf-grub 57 0%
#9112 fricas 57 0%
#9113 evilmarty/ilc/ilc 57 0%
#9114 libhubbub 57 0%
#9115 tuist/tuist/tuist@4.34.0 57 0%
#9116 s3ql 57 0%
#9117 kabel/pecl/php-xdebug 57 0%
#9118 remctl 57 0%
#9119 unnethack 57 0%
#9120 brewsci/bio/gffcompare 57 0%
#9121 xbitmaps 57 0%
#9122 ethereum/ethereum/solidity@7 57 0%
#9123 sidaf/pentest/netdiscover 57 0%
#9124 digitalspacestdio/php/php74-gmp 57 0%
#9125 couchdb-lucene 57 0%
#9126 dynet 57 0%
#9127 brewsci/base/shiny-server 57 0%
#9128 alebcay/ccextractor/ccextractor 57 0%
#9129 pothosware/pothos/soapyosmo 57 0%
#9130 httpdiff 57 0%
#9131 shivammathur/php/php@8.1-debug-zts 57 0%
#9132 gpx 57 0%
#9133 boostsecurityio/tap/poutine 57 0%
#9134 globstar 57 0%
#9135 openhv 57 0%
#9136 reubenmiller/go-c8y-cli/go-c8y-cli 57 0%
#9137 digitalspacestdio/ngdev/digitalspace-mysql@8.0 57 0%
#9138 nip4 57 0%
#9139 pam_yubico 57 0%
#9140 cartavis/tap/carta-casacore 57 0%
#9141 brewsci/bio/epa-ng 57 0%
#9142 reproc 56 0%
#9143 gantoreno/macfetch/macfetch 56 0%
#9144 hazelcast/hz/hazelcast 56 0%
#9145 brewsci/bio/flye 56 0%
#9146 pegasus-isi/tools/pegasus@5 56 0%
#9147 digitalspacestdio/php/php74-igbinary 56 0%
#9148 digitalspacestdio/php/php74-apcu 56 0%
#9149 jbigkit 56 0%
#9150 base91 56 0%
#9151 llama-cpp 56 0%
#9152 1debit/chime/halo 56 0%
#9153 yulrizka/tap/pushtotalk 56 0%
#9154 aaratn/terraenv/terraenv 56 0%
#9155 libxmp-lite 56 0%
#9156 drin/hatchery/mohair-substrait 56 0%
#9157 s3fs 56 0%
#9158 dart-lang/dart/dart@2.18 56 0%
#9159 git-test 56 0%
#9160 defang-io/defang/defang 56 0%
#9161 ucl 56 0%
#9162 cwi-dis/cwipc/cwipc 56 0%
#9163 artsy/formulas/hokusai 56 0%
#9164 rajivshah3/libomp-tap/libomp@11.1.0 56 0%
#9165 chaos-client 56 0%
#9166 bcpp 56 0%
#9167 cvsync 56 0%
#9168 digitalspacestdio/ngdev/digitalspace-mysql@5.7 56 0%
#9169 node@10 56 0%
#9170 appcd-dev/stackgen/stackgen 56 0%
#9171 voro++ 56 0%
#9172 scarvalhojr/tap/aoc-cli 56 0%
#9173 exiftags 56 0%
#9174 px4/px4/genromfs 56 0%
#9175 nacl 56 0%
#9176 yices2 56 0%
#9177 mariadb@10.3 56 0%
#9178 tiny 56 0%
#9179 morpho-lang/morpho/morpho-cli 56 0%
#9180 dhth/tap/hours 56 0%
#9181 git-vendor 56 0%
#9182 jzaleski/jzaleski/apg 56 0%
#9183 coordgen 56 0%
#9184 ancient 56 0%
#9185 shivammathur/extensions/memcached@8.4 56 0%
#9186 mmix 56 0%
#9187 git-time-metric/gtm/gtm 56 0%
#9188 dropbox-uploader 56 0%
#9189 thoughtbot/formulae/gitsh 56 0%
#9190 pgbackrest 56 0%
#9191 mysticlgbt/made/mac-linux-kdk 56 0%
#9192 ethereum/ethereum/solidity@4 55 0%
#9193 clzip 55 0%
#9194 ardupilot/px4/gcc-arm-none-eabi 55 0%
#9195 cbqn 55 0%
#9196 denisidoro/tools/navi 55 0%
#9197 shivammathur/extensions/grpc@7.3 55 0%
#9198 jnethack 55 0%
#9199 davea/perlmagick/perlmagick 55 0%
#9200 wput 55 0%
#9201 px4/px4/gcc-arm-none-eabi-80 55 0%
#9202 cloudfoundry-cli 55 0%
#9203 lutzifer/tap/keyboardswitcher 55 0%
#9204 gmerlino/exfat/exfat 55 0%
#9205 pyre 55 0%
#9206 turbot/tap/tailpipe 55 0%
#9207 black-sat/black/black-sat 55 0%
#9208 arp-sk 55 0%
#9209 zegervdv/zathura/zathura-ps 55 0%
#9210 mittwald/cli/mw 55 0%
#9211 planus 55 0%
#9212 aconchillo/guile/guile-goblins 55 0%
#9213 raghavpillai/gen-commit/gen-commit 55 0%
#9214 liboauth 55 0%
#9215 chasmnetwork/tap/chasm-cli 55 0%
#9216 viamrobotics/brews/tensorflowlite 55 0%
#9217 shopware/tap/shopware-cli 55 0%
#9218 go-to-k/tap/delstack 55 0%
#9219 tuist/tuist/tuist@4.42.0 55 0%
#9220 eso/pipelines/edps 55 0%
#9221 shivammathur/extensions/xdebug@5.6 55 0%
#9222 shivammathur/extensions/xdebug@7.2 55 0%
#9223 asciitex 55 0%
#9224 hashicorp/tap/enos 55 0%
#9225 brewsci/bio/express 55 0%
#9226 nickgerace/nickgerace/gfold 54 0%
#9227 xaric 54 0%
#9228 digitalspacestdio/php/php70-intl 54 0%
#9229 gtkwave 54 0%
#9230 uudeview 54 0%
#9231 antinomyhq/code-forge/code-forge 54 0%
#9232 birjuvachhani/spider/spider 54 0%
#9233 manticoresoftware/tap/manticore-executor 54 0%
#9234 eosio/eosio.cdt/eosio.cdt 54 0%
#9235 karthisrinivasan/actflow/actflow 54 0%
#9236 shivammathur/php/php@8.0-debug-zts 54 0%
#9237 px4/px4/fastcdr 54 0%
#9238 noweb 54 0%
#9239 yann-r/canettes/xv 54 0%
#9240 threedotslabs/tap/tdl 54 0%
#9241 railwayapp/railway/railway 54 0%
#9242 messense/macos-cross-toolchains/mipsel-unknown-linux-gnu 54 0%
#9243 ptunnel 54 0%
#9244 jpegrescan 54 0%
#9245 clitest 54 0%
#9246 zegervdv/zathura/synctex 54 0%
#9247 itchyny/tap/mmv 54 0%
#9248 jmattaa/laser/laser 54 0%
#9249 kcl-lang/tap/kcl@0.8.0 54 0%
#9250 homebrew/linux-fonts/font-victor-mono-nerd-font 54 0%
#9251 dimitarpetrov/stegify/stegify 54 0%
#9252 rc 54 0%
#9253 danieljprice/all/splash 54 0%
#9254 tudelft3d/software/prepair 54 0%
#9255 ton-blockchain/ton/ton 54 0%
#9256 iltommi/brews/hdf4 54 0%
#9257 ov 54 0%
#9258 betty 54 0%
#9259 brewsci/bio/roary 54 0%
#9260 cvc4/cvc4/cvc4 54 0%
#9261 mle 54 0%
#9262 stashapp 54 0%
#9263 inivation/inivation/dv-processing 54 0%
#9264 rwx-research/tap/abq 54 0%
#9265 moz-git-tools 54 0%
#9266 tfcollins/formulae/iio-oscilloscope 54 0%
#9267 pgtoolkit 54 0%
#9268 darksky-weather 54 0%
#9269 libmonome 54 0%
#9270 rpcsvc-proto 54 0%
#9271 zlog 54 0%
#9272 openiked 53 0%
#9273 facebook/fb/watchman 53 0%
#9274 wrk-trello 53 0%
#9275 cocot 53 0%
#9276 historian 53 0%
#9277 w-calc 53 0%
#9278 cestef/tap/zola 53 0%
#9279 roshan-r/termv/termv 53 0%
#9280 go@1.17 53 0%
#9281 cortexapps/tap/cortexapps-cli 53 0%
#9282 rsync-time-backup 53 0%
#9283 gptsync 53 0%
#9284 jvmtop 53 0%
#9285 nginx/unit/unit-java 53 0%
#9286 bplevin36/espeak-ng/espeak-ng 53 0%
#9287 tmpreaper 53 0%
#9288 digitalspacestdio/ngdev/digitalspace-dnsmasq 53 0%
#9289 brewsci/bio/tabixpp 53 0%
#9290 azure-core-cpp 53 0%
#9291 mp3check 53 0%
#9292 tbxark/repo/rmtrash 53 0%
#9293 shivammathur/extensions/igbinary@8.4 53 0%
#9294 sickle 53 0%
#9295 tholu/tap/subversion18 53 0%
#9296 quotatool 53 0%
#9297 osm 53 0%
#9298 brewsci/bio/minced 53 0%
#9299 brewsci/bio/exonerate 53 0%
#9300 aylei/tap/kubectl-debug 53 0%
#9301 shivammathur/extensions/yaml@8.4 53 0%
#9302 git-gerrit 53 0%
#9303 shivammathur/extensions/mongodb@7.1 53 0%
#9304 xenodium/macosrec/macosrec 53 0%
#9305 hendriknielaender/zvm/zvm 53 0%
#9306 aws-keychain 53 0%
#9307 bibclean 53 0%
#9308 lunzip 53 0%
#9309 moves-rwth/storm/stormchecker 53 0%
#9310 freecad/freecad/elmer 53 0%
#9311 flickcurl 53 0%
#9312 burnt-labs/xion/xiond@0.3.4 53 0%
#9313 digitalspacestdio/php/php82-intl 53 0%
#9314 burnt-labs/xion/xiond@0.3.9 53 0%
#9315 kertish-dos 53 0%
#9316 szwathub/tap/cartool 53 0%
#9317 digitalspacestdio/php/php82-mongodb 52 0%
#9318 bearer/tap/gon 52 0%
#9319 pothosware/pothos/pothossoapy 52 0%
#9320 gamdl 52 0%
#9321 pothosware/pothos/soapybladerf 52 0%
#9322 reitermarkus/tap/svgexport 52 0%
#9323 kapp 52 0%
#9324 brewsci/bio/prank 52 0%
#9325 unifdef 52 0%
#9326 xmltoman 52 0%
#9327 tuist/tuist/tuist@4.28.0 52 0%
#9328 apppackio/apppack/apppack 52 0%
#9329 speedscale/tap/proxymock 52 0%
#9330 libpciaccess 52 0%
#9331 tideways/profiler/tideways-daemon 52 0%
#9332 robotfindskitten 52 0%
#9333 tschoonj/tap/xraylib 52 0%
#9334 linka-cloud/tap/d2vm 52 0%
#9335 digitalspacestdio/php/php80 52 0%
#9336 krzko/tap/run-o11y-run 52 0%
#9337 digitalspacestdio/php/php74-ldap 52 0%
#9338 libmrss 52 0%
#9339 tomoyanonymous/flatcam/flatcam 52 0%
#9340 fairy-stockfish 52 0%
#9341 bayandin/tap/neon-local 52 0%
#9342 brewsci/bio/snpeff 52 0%
#9343 morpheus-lab/morpheus/morpheus 52 0%
#9344 virtualhost.sh 52 0%
#9345 mac-vz/tap/macvz 52 0%
#9346 digitalspacestdio/php/php83-intl 52 0%
#9347 robinovitch61/tap/webtoon-dl 52 0%
#9348 nopoll 52 0%
#9349 immich-go 52 0%
#9350 dtsroll 52 0%
#9351 davidchall/hep/cernlib 52 0%
#9352 moe 52 0%
#9353 benkim04/attc/attc 52 0%
#9354 kanoi/cgminer/cgminer 52 0%
#9355 unittest-cpp 52 0%
#9356 petere/postgresql/postgresql@13 52 0%
#9357 nwhetsell/lilypond/lilypond-unstable 52 0%
#9358 hyperestraier 52 0%
#9359 python-no-gil 51 0%
#9360 railwaycat/emacsmacport/emacs-mac@28 51 0%
#9361 rakalex/mac-brightnessctl/mac-brightnessctl 51 0%
#9362 c6o/codezero/codezero 51 0%
#9363 glibc@2.17 51 0%
#9364 shivammathur/extensions/mailparse@8.3 51 0%
#9365 sergiobenitez/osxct/aarch64-none-elf 51 0%
#9366 termbox 51 0%
#9367 beanquery 51 0%
#9368 gcc@7 51 0%
#9369 rewardenv/tap/reward 51 0%
#9370 gnome-online-accounts 51 0%
#9371 darkbrow/repo/qalculate-qt 51 0%
#9372 taiki-e/tap/cargo-hack 51 0%
#9373 superbet-group/tap/betctl 51 0%
#9374 form 51 0%
#9375 vmware/vcfcli/vcf-cli 51 0%
#9376 cadubi 51 0%
#9377 shivammathur/extensions/mcrypt@7.3 51 0%
#9378 shivammathur/extensions/msgpack@8.3 51 0%
#9379 rustic 51 0%
#9380 libcouchbase@2 51 0%
#9381 stakpak/stakpak/stakpak 51 0%
#9382 petere/postgresql/postgresql@10 51 0%
#9383 jerryscript 51 0%
#9384 ory/tap/oathkeeper 51 0%
#9385 chainguard-dev/tap/melange 51 0%
#9386 blackfireio/blackfire/blackfire-agent 51 0%
#9387 digitalspacestdio/php/php82-ldap 51 0%
#9388 mszostok/tap/codeowners-validator 51 0%
#9389 kiliankoe/formulae/swift-outdated 51 0%
#9390 shivammathur/php/php@7.1-debug-zts 51 0%
#9391 plank 51 0%
#9392 libdsk 51 0%
#9393 pd3v/mglyphs/mglyphs 51 0%
#9394 jkfran/killport/killport 51 0%
#9395 mumps 51 0%
#9396 recoverjpeg 51 0%
#9397 digitalspacestdio/php/php82-apcu 51 0%
#9398 shivammathur/extensions/igbinary@7.4 51 0%
#9399 shaunplee/asic/magic-vlsi 51 0%
#9400 mikhailai/misc/usbutils 51 0%
#9401 brewsci/bio/nextflow 51 0%
#9402 somuchforsubtlety/tap/f1viewer 51 0%
#9403 jreleaser/tap/jreleaser 51 0%
#9404 vinivendra/gryphon/gryphon 51 0%
#9405 hashicorp/tap/consul-dataplane 51 0%
#9406 resty 51 0%
#9407 tass64 51 0%
#9408 hostdb 50 0%
#9409 openrtm/openrtm2/openrtm2-python-py39 50 0%
#9410 madplay 50 0%
#9411 cfoust/taps/cy 50 0%
#9412 sql-translator 50 0%
#9413 mvisonneau/tap/gitlab-ci-pipelines-exporter 50 0%
#9414 umka-lang 50 0%
#9415 nak 50 0%
#9416 mutagen-io/mutagen/mutagen-compose@0.17 50 0%
#9417 libnl 50 0%
#9418 rigellute/tap/spotify-tui 50 0%
#9419 digitalspacestdio/php/php74-sodium 50 0%
#9420 rogcat 50 0%
#9421 txt2man 50 0%
#9422 cludden/formula/protoc-gen-go_temporal 50 0%
#9423 eso/pipelines/pycpl 50 0%
#9424 jrtplib 50 0%
#9425 avoidik/qemu-spice/libepoxy-egl 50 0%
#9426 xprop 50 0%
#9427 grdl/tap/git-get 50 0%
#9428 digitalspacestdio/php/php81-apcu 50 0%
#9429 kubeshop/monokle/monokle-cli 50 0%
#9430 kikoplayproject/kikoplay/kikoplay 50 0%
#9431 nuraft 50 0%
#9432 static-web-server/static-web-server/static-web-server 50 0%
#9433 dopplerhq/doppler/doppler 50 0%
#9434 osx-cross/arm/arm-gcc-bin@8 50 0%
#9435 libwpe 50 0%
#9436 terraform-cleaner 50 0%
#9437 tuist/tuist/tuist@3.37.0 50 0%
#9438 sequoia-sqv 50 0%
#9439 sidneys/homebrew/untrunc 50 0%
#9440 digitalspacestdio/php/php81-gmp 50 0%
#9441 z80dasm 50 0%
#9442 functionalplus 50 0%
#9443 topiary 50 0%
#9444 ext4fuse 50 0%
#9445 hyperjeff/tools/shuffle 50 0%
#9446 mulesoft-labs/data-weave/dw 50 0%
#9447 liblaber/liblab/liblab 50 0%
#9448 shellshare 50 0%
#9449 sbtenv 50 0%
#9450 amiaopensource/amiaos/bmdtools 49 0%
#9451 jez/formulae/pandoc-sidenote 49 0%
#9452 patthemav/custom/vim-custom 49 0%
#9453 brewsci/bio/unicycler 49 0%
#9454 infomaker/core/ciutils 49 0%
#9455 catppuccin/tap/catwalk 49 0%
#9456 ghc@9.2 49 0%
#9457 butterflynetwork/buildkite/buildkite-agent 49 0%
#9458 timothyye/tap/skm 49 0%
#9459 charmbracelet/tap/melt 49 0%
#9460 digitalspacestdio/php/php83-ldap 49 0%
#9461 meetcleo/cleo/cleo 49 0%
#9462 sslyze 49 0%
#9463 libmarpa 49 0%
#9464 burnt-labs/xion/xiond@2.0.0 49 0%
#9465 yugabyte/yugabytedb/yugabytedb 49 0%
#9466 triggermesh/cli/tmctl 49 0%
#9467 opentracing-cpp 49 0%
#9468 cyberark/tools/summon-conjur 49 0%
#9469 streamnative/streamnative/snctl 49 0%
#9470 shivammathur/extensions/grpc@7.1 49 0%
#9471 snaipe/soft/criterion 49 0%
#9472 sheerun/git-squash/git-squash 49 0%
#9473 josephpage/jetpack-io/devbox 49 0%
#9474 iltommi/brews/clfft 49 0%
#9475 enonic/cli/enonic 49 0%
#9476 retronym/formulas/jardiff 49 0%
#9477 rp 49 0%
#9478 purplebooth/repo/fast-conventional 49 0%
#9479 cole-trapnell-lab/trapnell/r 49 0%
#9480 libgetdata 49 0%
#9481 philpennock/protocols/sieve-connect 49 0%
#9482 xcenv 49 0%
#9483 bayandin/tap/pg_graphql 49 0%
#9484 humanlogio/tap/humanlog 49 0%
#9485 benwebber/tunnelblickctl/tunnelblickctl 49 0%
#9486 jphastings/tools/jwker 49 0%
#9487 coin-or-tools/coinor/symphony 49 0%
#9488 open-geocomputing/brew/g2s 49 0%
#9489 wla-dx 49 0%
#9490 petebankhead/qupath/openslide-java 49 0%
#9491 mac 49 0%
#9492 sonar-completion 49 0%
#9493 bradleyjkemp/formulae/grpc-tools 48 0%
#9494 digitalspacestdio/php/php83-igbinary 48 0%
#9495 z80oolong/tmux/tmux 48 0%
#9496 twam/openfpga/nextpnr 48 0%
#9497 burnt-labs/xion/xiond@7.0.0 48 0%
#9498 alan-turing-institute/hut23/meet 48 0%
#9499 kyoto-tycoon 48 0%
#9500 px4/px4/px4-sim 48 0%
#9501 jove 48 0%
#9502 digitalspacestdio/php/php83-apcu 48 0%
#9503 libmowgli 48 0%
#9504 xwininfo 48 0%
#9505 rosco-m68k/toolchain/mame-sbc 48 0%
#9506 gfsvn/tap/gfsvn 48 0%
#9507 securefs 48 0%
#9508 gmail-backup 48 0%
#9509 ml-software-services/tools/mldev 48 0%
#9510 greymd/tools/teip 48 0%
#9511 watr/formulae/mbeacon 48 0%
#9512 halibut 48 0%
#9513 digitalspacestdio/php/php82-gmp 48 0%
#9514 h2c 48 0%
#9515 brewsci/bio/transdecoder 48 0%
#9516 shivammathur/php/php@7.3-debug-zts 48 0%
#9517 avsm/oxcaml/oxcaml 48 0%
#9518 jinx 48 0%
#9519 qqilihq/partial-emlx-converter/partial-emlx-converter 48 0%
#9520 kojix2/brew/wgsim 48 0%
#9521 osx-cross/avr/avr-gcc@10 48 0%
#9522 jacobbednarz/tap/cf-vault 48 0%
#9523 mohamedelashri/free-mac/free-mac 48 0%
#9524 digitalspacestdio/php/php74-zip 48 0%
#9525 argtable3 48 0%
#9526 libxdiff 48 0%
#9527 msoap/tools/shell2http 48 0%
#9528 json-table 48 0%
#9529 packetq 48 0%
#9530 flvmeta 48 0%
#9531 koji 48 0%
#9532 instructlab 48 0%
#9533 bendews/tap/apw 48 0%
#9534 macaulay2/tap/msolve 48 0%
#9535 slimerjs 48 0%
#9536 jzelinskie/faq/faq 48 0%
#9537 repl 48 0%
#9538 cdb 48 0%
#9539 ekristen/tap/cast 48 0%
#9540 versatiles-org/versatiles/versatiles 48 0%
#9541 demumble 48 0%
#9542 thatmattlove/oui/oui 48 0%
#9543 domoritz/tap/json2parquet 48 0%
#9544 libxdg-basedir 48 0%
#9545 intercal 48 0%
#9546 direvent 48 0%
#9547 shivammathur/php/php@7.2-debug-zts 48 0%
#9548 digitalspacestdio/php/php81-zip 48 0%
#9549 bashish 47 0%
#9550 dosco/graphjin/graphjin 47 0%
#9551 nuvie 47 0%
#9552 libident 47 0%
#9553 pdf2htmlex 47 0%
#9554 digitalspacestdio/php/php81-pdo-pgsql 47 0%
#9555 go-gremlins/tap/gremlins 47 0%
#9556 quic/quic/angle 47 0%
#9557 digitalspacestdio/php/php81-xdebug 47 0%
#9558 dragonops-io/tap/dragonops 47 0%
#9559 digitalspacestdio/php/php81-ldap 47 0%
#9560 shivammathur/php/php@7.0-debug-zts 47 0%
#9561 coin-or-tools/coinor/cgl 47 0%
#9562 clucene 47 0%
#9563 nginx/unit/unit-perl 47 0%
#9564 skpr/taps/skpr 47 0%
#9565 ampl-asl 47 0%
#9566 sacloud/usacloud/usacloud 47 0%
#9567 homebrew/linux-fonts/font-fira-code 47 0%
#9568 laggardkernel/tap/iterm2-zmodem 47 0%
#9569 homebrew/linux-fonts/font-symbols-only-nerd-font 47 0%
#9570 aldo 47 0%
#9571 knqyf263/utern/utern 47 0%
#9572 precious 47 0%
#9573 tavril/tap/minfo 47 0%
#9574 shivammathur/extensions/imap@5.6 47 0%
#9575 neverest 47 0%
#9576 snap7 47 0%
#9577 noroutine/tap/nail 47 0%
#9578 btparse 47 0%
#9579 buildkite/buildkite/bk@2 47 0%
#9580 digitalspacestdio/php/php74-mongodb 47 0%
#9581 xeol-io/xeol/xeol 47 0%
#9582 umputun/apps/spot 47 0%
#9583 strip-nondeterminism 47 0%
#9584 tundra 47 0%
#9585 digitalspacestdio/ngdev/digitalspace-supervisor 47 0%
#9586 comrak 47 0%
#9587 indicators 47 0%
#9588 canac/tap/chron 47 0%
#9589 optimizers/cutest/sifdecode 47 0%
#9590 freecad/freecad/med-file@4.1.1_py312 47 0%
#9591 cj-systems/gitflow-cjs/git-flow-cjs 47 0%
#9592 sidaf/pentest/wfuzz 47 0%
#9593 pothosware/pothos/pothoscomms 47 0%
#9594 devdash 47 0%
#9595 milvus-io/milvus/milvus 47 0%
#9596 digitalspacestdio/php/php74-pdo-pgsql 47 0%
#9597 icbirc 47 0%
#9598 tednaleid/ganda/ganda 47 0%
#9599 randomize-lines 47 0%
#9600 zydis 47 0%
#9601 shivammathur/extensions/mcrypt@7.2 47 0%
#9602 alexsanches1/test/asimov-cli 46 0%
#9603 shivavg 46 0%
#9604 advancescan 46 0%
#9605 avanor 46 0%
#9606 nostorg/nostr/gossip 46 0%
#9607 vmware-tanzu/carvel/kwt 46 0%
#9608 shivammathur/extensions/amqp@8.4 46 0%
#9609 moonfruit/tap/tscurl 46 0%
#9610 caarlos0/tap/discord-applemusic-rich-presence 46 0%
#9611 nmstatectl 46 0%
#9612 cpp-lazy 46 0%
#9613 setconf 46 0%
#9614 franklinchen/tap/hugs 46 0%
#9615 darelover/ntfs-3g/ntfs-3g 46 0%
#9616 sidaf/pentest/wafw00f 46 0%
#9617 px4/px4/fastdds 46 0%
#9618 lib3ds 46 0%
#9619 digitalspacestdio/php/php74-redis 46 0%
#9620 digitalspacestdio/php/php83-gmp 46 0%
#9621 ardupilot/px4/genromfs 46 0%
#9622 stk 46 0%
#9623 heavywatal/tap/dart-sass 46 0%
#9624 kunichiko/tap/mono2stereo 46 0%
#9625 hishtory 46 0%
#9626 modman 46 0%
#9627 block-goose-cli 46 0%
#9628 sword 46 0%
#9629 digitalspacestdio/php/php81-intl 46 0%
#9630 snowflake-labs/snowflake-cli/snowcli 46 0%
#9631 digitalspacestdio/php/php82-xdebug 46 0%
#9632 anime-downloader 46 0%
#9633 msgpuck 46 0%
#9634 pinedavictor/vx/vexal 46 0%
#9635 brewsci/bio/harvest-tools 46 0%
#9636 lescanauxdiscrets/tap/zvbi 46 0%
#9637 snowflakedb/cloudeng/sanssh 46 0%
#9638 xataio/brew/xata 46 0%
#9639 shivammathur/extensions/redis@5.6 46 0%
#9640 code-hex/tap/neo-cowsay 46 0%
#9641 dcos-cli 46 0%
#9642 shivammathur/extensions/snmp@8.2 46 0%
#9643 bayandin/tap/plpgsql_check 46 0%
#9644 kong/kong/kong 46 0%
#9645 garmintools 46 0%
#9646 git-game 46 0%
#9647 clasp-developers/clasp/cando 46 0%
#9648 brewsci/bio/pplacer 46 0%
#9649 calimero-network/tap/merod 46 0%
#9650 namore/custom/namore-calculix-ccx 46 0%
#9651 avisi-cloud/tools/acloud 46 0%
#9652 keboola/keboola-cli/keboola-cli 46 0%
#9653 joemiller/taps/yk-attest-verify 46 0%
#9654 tgragnato/tap/goflow 46 0%
#9655 yugabyte/tap/ybm 46 0%
#9656 btfs 46 0%
#9657 digitalspacestdio/ngdev/digitalspace-mysql80 46 0%
#9658 tgragnato/tap/snowflake-pt 46 0%
#9659 danpoltawski/mdk/moodle-sdk 46 0%
#9660 pothosware/pothos/soapyiris 46 0%
#9661 digitalspacestdio/ngdev/digitalspace-allutils 46 0%
#9662 nais/tap/narc 46 0%
#9663 cenkalti/rain/rain 46 0%
#9664 fsarchiver 46 0%
#9665 homebrew/linux-fonts/font-iosevka-nerd-font 46 0%
#9666 brewsci/science/gnudatalanguage 46 0%
#9667 abdfnx/tap/tran 46 0%
#9668 digitalspacestdio/php/php82-opcache 46 0%
#9669 aklivity/tap/zilla 46 0%
#9670 jichu4n/palm-os/pilot-link 46 0%
#9671 jimon-s/awsmfa/awsmfa 45 0%
#9672 empty 45 0%
#9673 gmao-si-team/packages/mepo 45 0%
#9674 singularity 45 0%
#9675 signadot/tap/signadot-cli 45 0%
#9676 adevinta/zoe/zoe 45 0%
#9677 shivammathur/extensions/uuid@8.3 45 0%
#9678 yumafuu/tap/s1m 45 0%
#9679 stek29/idevice/idevicerestore 45 0%
#9680 fyne 45 0%
#9681 libeatmydata 45 0%
#9682 gcsfuse 45 0%
#9683 jenkins-zh/jcli/jcli 45 0%
#9684 burnt-labs/xion/xiond@3.0.0 45 0%
#9685 digitalspacestdio/php/php74-tidy 45 0%
#9686 polyglot 45 0%
#9687 zendesk/devops/appconfig 45 0%
#9688 ttf2eot 45 0%
#9689 carlosnano/grpc-swift-v/grpc-swift 45 0%
#9690 digitalspacestdio/ngdev/digitalspace-nginx 45 0%
#9691 libbitcoin-network 45 0%
#9692 epeg 45 0%
#9693 hazelcast/hz/hazelcast-management-center 45 0%
#9694 danielbair/tap/python@2 45 0%
#9695 digitalspacestdio/ngdev/digitalspace-mailhog 45 0%
#9696 libuecc 45 0%
#9697 mecab-jumandic 45 0%
#9698 liamg/tfsec/tfsec 45 0%
#9699 hashicorp/tap/tf-migrate 45 0%
#9700 spotify/public/gcs-magnolify-tools 45 0%
#9701 defenseunicorns/tap/uds@0.19.1 45 0%
#9702 digitalspacestdio/php/php82-igbinary 45 0%
#9703 wellcode-cli 45 0%
#9704 gromgit/dev/vlang-weekly 45 0%
#9705 flow-editor 45 0%
#9706 alloy 45 0%
#9707 snag 45 0%
#9708 waypoint 45 0%
#9709 jakewharton/repo/dependency-tree-diff 45 0%
#9710 shivammathur/extensions/mongodb@7.0 45 0%
#9711 dart-lang/dart/dart@3.4.3 45 0%
#9712 digitalspacestdio/php/php74-opcache 45 0%
#9713 sigstore/tap/gitsign 45 0%
#9714 ffe 45 0%
#9715 shivammathur/extensions/mcrypt@8.2 45 0%
#9716 nitidbit/gpush/gpush 45 0%
#9717 brewsci/bio/breseq 45 0%
#9718 tomahawk-player/tomahawkqt5/liblastfm 45 0%
#9719 square/formula/sq 45 0%
#9720 amterp/rad/rad 45 0%
#9721 digitalspacestdio/php/php83-xdebug 45 0%
#9722 springernature/halfpipe/halfpipe 45 0%
#9723 cybozu/assam/assam 45 0%
#9724 shivammathur/extensions/imap@7.1 45 0%
#9725 digitalspacestdio/php/php81-opcache 45 0%
#9726 ipsumdump 45 0%
#9727 zix99/rare/rare 45 0%
#9728 digitalspacestdio/php/php81-tidy 45 0%
#9729 digitalspacestdio/common/curl@7 45 0%
#9730 chanzuckerberg/tap/argus 44 0%
#9731 digitalspacestdio/php/php82-redis 44 0%
#9732 shivammathur/extensions/apcu@8.0 44 0%
#9733 bayandin/tap/timescaledb 44 0%
#9734 simpsonjulian/statica-tap/statica 44 0%
#9735 touchlab/gitportal/gitportal 44 0%
#9736 shyiko/kubesec/kubesec 44 0%
#9737 dtan4/tools/k8sec 44 0%
#9738 sleek-sql 44 0%
#9739 grapple-solution/grapple-go-cli/grapple-go-cli 44 0%
#9740 brewsci/science/vigra 44 0%
#9741 sqlitecpp 44 0%
#9742 redox-os/gcc_cross_compilers/x86_64-elf-gcc 44 0%
#9743 digitalspacestdio/php/php74-amqp 44 0%
#9744 shopify/shopify/svg2vd 44 0%
#9745 uggconv 44 0%
#9746 coin-or-tools/coinor/ampl-mp@3.1.0 44 0%
#9747 liblockfile 44 0%
#9748 inigolabs/tap/inigo_cli 44 0%
#9749 libgit2@1.5 44 0%
#9750 rolldice 44 0%
#9751 ondir 44 0%
#9752 webrpc/tap/webrpc-gen 44 0%
#9753 digitalspacestdio/php/php82-zip 44 0%
#9754 curlftpfs 44 0%
#9755 libesedb 44 0%
#9756 kojix2/brew/sdust 44 0%
#9757 digitalspacestdio/php/php83-zip 44 0%
#9758 digitalspacestdio/php/php83-tidy 44 0%
#9759 digitalspacestdio/php/php83-redis 44 0%
#9760 sethrfore/r-srf/r 44 0%
#9761 git-svn-abandon 44 0%
#9762 digitalspacestdio/php/php81-redis 44 0%
#9763 farmotive/k8s/kpoof 44 0%
#9764 the-way 44 0%
#9765 shivammathur/extensions/mcrypt@8.1 44 0%
#9766 uptech/oss/git-ps-rs 44 0%
#9767 styrainc/packages/eopa 44 0%
#9768 vincemann/repo/subtitle-buddy 44 0%
#9769 clipsafe 44 0%
#9770 digitalspacestdio/php/php56-gmp 44 0%
#9771 mediamicroservices/mm/mm 44 0%
#9772 cyberark/tools/summon-aws-secrets 44 0%
#9773 gcem 44 0%
#9774 lucydodo/tap/sqlb-openssl@3 44 0%
#9775 rwx-research/tap/captain 44 0%
#9776 dart-lang/dart/dart@3.4.0 44 0%
#9777 jamf/internal-tap/cloud-tools@9 44 0%
#9778 eko/tap/monday 44 0%
#9779 pgtune 44 0%
#9780 dupseek 44 0%
#9781 tehzz/n64-dev/mips64-elf-gcc 44 0%
#9782 shivammathur/extensions/ssh2@8.3 44 0%
#9783 lawmurray/stage/doxide 44 0%
#9784 gdrive@2 44 0%
#9785 skatkov/tap/poshtui 44 0%
#9786 meroxa/taps/meroxa 44 0%
#9787 nomad10101/nomad/pi 44 0%
#9788 quodlibetor/tap/s3glob 44 0%
#9789 numdiff 44 0%
#9790 azure-storage-blobs-cpp 44 0%
#9791 makew0rld/tap/didder 44 0%
#9792 adplug 44 0%
#9793 shivammathur/extensions/grpc@7.0 44 0%
#9794 gromgit/fuse/rclone-mac 44 0%
#9795 awgst/awgst/aiterm 44 0%
#9796 tweak 44 0%
#9797 brewsci/bio/libsbml 44 0%
#9798 pomdtr/tap/tweety 44 0%
#9799 ssed 43 0%
#9800 grimme-lab/qc/crest 43 0%
#9801 vmfarms/sidepro/sidepro 43 0%
#9802 lean 43 0%
#9803 xhc2333/tap/macsvn 43 0%
#9804 chenasraf/tap/sofmani 43 0%
#9805 kcl-lang/tap/kcl-lsp@0.7.0 43 0%
#9806 dart-lang/dart/dart-beta 43 0%
#9807 teem 43 0%
#9808 chiissu/macchiato/zig-nightly 43 0%
#9809 asyncplusplus 43 0%
#9810 wyne/tap/fasder 43 0%
#9811 trimage 43 0%
#9812 brewsci/bio/kmc 43 0%
#9813 piiano/tap/pvault-cli 43 0%
#9814 mtm 43 0%
#9815 cartesi/tap/cartesi 43 0%
#9816 llvm@11 43 0%
#9817 digitalspacestdio/ngdev/digitalspace-mysql57 43 0%
#9818 tgragnato/tap/vaban 43 0%
#9819 ems-flasher 43 0%
#9820 viktomas/taps/godu 43 0%
#9821 postgresql 43 0%
#9822 git-tracker 43 0%
#9823 pipelight 43 0%
#9824 messense/macos-cross-toolchains/arm-unknown-linux-musleabihf 43 0%
#9825 plasma-umass/scalene/scalene 43 0%
#9826 tinysvm 43 0%
#9827 zahid-iqbal-alpha/grapple/grapple-cli 43 0%
#9828 digitalspacestdio/php/php56-ldap 43 0%
#9829 go@1.16 43 0%
#9830 koki-develop/tap/gat 43 0%
#9831 tuist/tuist/tuist@4.34.2 43 0%
#9832 aoki/redis-cli/redis-cli 43 0%
#9833 debugbreak 43 0%
#9834 jpeg-archive 43 0%
#9835 openmama 43 0%
#9836 pothosware/pothos/pothos 43 0%
#9837 taskflow 43 0%
#9838 git-integration 43 0%
#9839 pacapt 43 0%
#9840 libparserutils 43 0%
#9841 lampepfl/brew/dotty 43 0%
#9842 homebrew/linux-fonts/font-ubuntu-mono-nerd-font 43 0%
#9843 flownative/flownative/localbeach 43 0%
#9844 yunkya2/tap/elf2x68k 43 0%
#9845 kabel/php-ext/php-snmp 43 0%
#9846 libpcl 43 0%
#9847 nettoe 43 0%
#9848 dart-lang/dart/dart@2.17 43 0%
#9849 ssup2/tap/kpexec 43 0%
#9850 digitalspacestdio/php/php83-sodium 43 0%
#9851 irrtoolset 43 0%
#9852 zzuf 43 0%
#9853 vncsnapshot 43 0%
#9854 digitalspacestdio/ngdev/digitalspace-local-ca 43 0%
#9855 iceman1001/proxmark3/proxmark3 43 0%
#9856 digitalspacestdio/php/php83-pdo-pgsql 43 0%
#9857 cecilapp/tap/cecil 42 0%
#9858 damask-grid 42 0%
#9859 startergo/qemu-virgl/libangle 42 0%
#9860 burnt-labs/xion/xiond@3.1.0 42 0%
#9861 kamilturek/python2/python@2 42 0%
#9862 holos-run/tap/holos 42 0%
#9863 badouralix/tap/rocksdb@6.20.3 42 0%
#9864 osx-cross/arm/arm-none-eabi-gcc@9 42 0%
#9865 liburing 42 0%
#9866 lyraphase/right2repair/rancher-machine 42 0%
#9867 yamcha 42 0%
#9868 shinokada/tera/tera 42 0%
#9869 rvigo/asdasdsa/asdasdsa 42 0%
#9870 shivammathur/extensions/msgpack@8.1 42 0%
#9871 cqlabs/dcm/dcm@1.26.0 42 0%
#9872 wunderio/tap/silta-cli 42 0%
#9873 libofx 42 0%
#9874 lmfit 42 0%
#9875 cristianoliveira/tap/ergo 42 0%
#9876 aces_container 42 0%
#9877 rundel/quarto-cli/quarto 42 0%
#9878 kilna/adbstatus/adbstatus 42 0%
#9879 hauler-dev/tap/hauler 42 0%
#9880 gromgit/fuse/dislocker-mac 42 0%
#9881 shivammathur/extensions/rdkafka@7.4 42 0%
#9882 janw/tap/podcast-archiver 42 0%
#9883 tm 42 0%
#9884 digitalspacestdio/php/php82-pdo-pgsql 42 0%
#9885 tng/please/please 42 0%
#9886 mt32emu 42 0%
#9887 starlark-rust 42 0%
#9888 dcled 42 0%
#9889 homebrew/linux-fonts/font-inconsolata-nerd-font 42 0%
#9890 predatorray/brew/kubectl-tmux-exec 42 0%
#9891 signalwire/signalwire/spandsp 42 0%
#9892 globe 42 0%
#9893 miguelandres/tap/dotfiles-rs 42 0%
#9894 na-trium-144/webcface/webcface 42 0%
#9895 bluepill 42 0%
#9896 gcc@8 42 0%
#9897 bryanwills/coder/coder 42 0%
#9898 digitalspacestdio/php/php81-igbinary 42 0%
#9899 hyx 42 0%
#9900 siderolabs/talos/omnictl 42 0%
#9901 mitmproxy 42 0%
#9902 mox 42 0%
#9903 dps8m 42 0%
#9904 yacas 42 0%
#9905 gasoid/photodumper/photodumper 42 0%
#9906 facebook/fb/fbsimctl 42 0%
#9907 ttscoff/thelab/gather-cli 42 0%
#9908 fahasch/formulae/fricas 42 0%
#9909 fsevent_watch 42 0%
#9910 0xxd0/tap/cartool 42 0%
#9911 bold 42 0%
#9912 spacehuhntech/huhnitor/huhnitor 42 0%
#9913 fgeller/tap/kt 42 0%
#9914 libdivsufsort 42 0%
#9915 shivammathur/extensions/mcrypt@8.4 42 0%
#9916 cartman-kai/thrift/thrift@0.20 42 0%
#9917 hostedgraphite/hgcli/hg-cli 42 0%
#9918 rundeck/rundeck-cli/rundeck-cli 42 0%
#9919 excalidraw-converter 42 0%
#9920 slp/krunkit/virglrenderer 42 0%
#9921 pg_cron-pg17 42 0%
#9922 typedb/tap/typedb 42 0%
#9923 spotify/public/tfreader 42 0%
#9924 wsonetunnel/tunnel/dux 42 0%
#9925 shivammathur/php/php@8.3-debug-zts 42 0%
#9926 vscli 42 0%
#9927 admesh 42 0%
#9928 freecad/freecad/med-file@4.1.1 42 0%
#9929 tl-expected 41 0%
#9930 xcv 41 0%
#9931 tarantool/tap/tarantool@2.10 41 0%
#9932 digitalspacestdio/php/php81-sodium 41 0%
#9933 digitalspacestdio/php/php71 41 0%
#9934 ra-multiplex 41 0%
#9935 digitalspacestdio/php/php56-apcu 41 0%
#9936 digitalspacestdio/php/php83-opcache 41 0%
#9937 egovelox/mozeidon/mozeidon 41 0%
#9938 ktemkin/oss-fpga/icestorm 41 0%
#9939 pivy 41 0%
#9940 shivammathur/extensions/imagick@7.3 41 0%
#9941 joshrotenberg/brew/adrs 41 0%
#9942 libestr 41 0%
#9943 ibigio/tap/shell-ai 41 0%
#9944 shivammathur/extensions/couchbase@8.1 41 0%
#9945 shivammathur/extensions/pdo_sqlsrv@8.3 41 0%
#9946 dragonbox 41 0%
#9947 tgragnato/tap/jekyll 41 0%
#9948 dagu-org/brew/dagu 41 0%
#9949 zinclabs/tap/zincsearch 41 0%
#9950 ol 41 0%
#9951 burnt-labs/xion/xiond@1.0.0 41 0%
#9952 gdrive-downloader 41 0%
#9953 isacikgoz/taps/tldr 41 0%
#9954 zyre 41 0%
#9955 homebrew/linux-fonts/font-cascadia-code 41 0%
#9956 typisttech/vipdatasync/vipdatasync 41 0%
#9957 solid 41 0%
#9958 abinit/tap/hdf5-parallel 41 0%
#9959 sdl_mixer 41 0%
#9960 digitalspacestdio/php/php70-xdebug 41 0%
#9961 vci 41 0%
#9962 diction 41 0%
#9963 coretech/terrafile/terrafile 41 0%
#9964 husarnet/tap-nightly/husarnet 41 0%
#9965 frozen 41 0%
#9966 kabel/pecl/php@8.2-xdebug 41 0%
#9967 martin 41 0%
#9968 digitalspacestdio/php/php82-tidy 41 0%
#9969 lou1306/formal/mathsat 41 0%
#9970 up9inc/repo/mockintosh 41 0%
#9971 digitalspacestdio/php/php82-sodium 41 0%
#9972 forthy42/zsh/yodl 41 0%
#9973 maaassistantarknights/tap/fastdeploy_ppocr 41 0%
#9974 jon4hz/tap/fztea 41 0%
#9975 rylan12/personal/actflow 41 0%
#9976 grabbiel/brew/heulpad 41 0%
#9977 cachebag/ticked/ticked 41 0%
#9978 mrklein/foam/parmgridgen 41 0%
#9979 zeroc-ice/tap/ice 41 0%
#9980 scrub 41 0%
#9981 keptn 41 0%
#9982 pothosware/pothos/pothospython 41 0%
#9983 bunnyshell/tap/bunnyshell-cli 41 0%
#9984 gnames/gn/gnparser 41 0%
#9985 ie-developers/ie/gnuplot 41 0%
#9986 alhadis/troff/man-db 40 0%
#9987 replicate/tap/pget 40 0%
#9988 robinovitch61/tap/wander 40 0%
#9989 linus-mussmaecher/tap/rucola 40 0%
#9990 superbet-group/betting.platform/betctl 40 0%
#9991 lawmurray/stage/birch 40 0%
#9992 starhack/repo/bin2iso 40 0%
#9993 mulle-kybernetik/software/mulle-xcode-to-cmake 40 0%
#9994 gpm 40 0%
#9995 lifelines 40 0%
#9996 rnpgp/rnp/rnp 40 0%
#9997 digitalspacestdio/php/php71-common 40 0%
#9998 johanvdhaegen/tools/python-lsp-server 40 0%
#9999 apptainer 40 0%
#10000 petere/postgresql/postgresql@11 40 0%
#10001 bats-core/bats-core/bats-detik 40 0%
#10002 terminushq/trantor2/trantor2 40 0%
#10003 wumpus 40 0%
#10004 libsvgtiny 40 0%
#10005 tgragnato/tap/inca 40 0%
#10006 digitalspacestdio/php/php80-apcu 40 0%
#10007 mergelog 40 0%
#10008 vapor/tap/vapor3 40 0%
#10009 shivammathur/extensions/ds@7.4 40 0%
#10010 knope-dev/tap/knope 40 0%
#10011 bengieeee/aws-google-saml/aws-google-saml 40 0%
#10012 tcptunnel 40 0%
#10013 digitalspacestdio/php/php80-gmp 40 0%
#10014 signalwire/signalwire/flite 40 0%
#10015 pflouret/tap/overdrive-m4b 40 0%
#10016 pbc-sig 40 0%
#10017 fahasch/formulae/mumps 40 0%
#10018 pachyderm/tap/pachctl@2.9 40 0%
#10019 bucketplace/platform/mortar@2 40 0%
#10020 cartr/qt4/qt-webkit@2.3 40 0%
#10021 nwhetsell/biber/biber@2.19 40 0%
#10022 qprint 40 0%
#10023 aserto-dev/tap/aserto 40 0%
#10024 riseshia/x/fubura 40 0%
#10025 libantlr3c 40 0%
#10026 dmalloc 40 0%
#10027 kyoto-cabinet 40 0%
#10028 chiissu/macchiato/libmediapipe 40 0%
#10029 shivammathur/extensions/sqlsrv@8.3 40 0%
#10030 yohasebe/whisper-stream/whisper-stream 40 0%
#10031 unyaffs 40 0%
#10032 flexiblas-blis 40 0%
#10033 kabel-salat/qt62lts/qt@6.2.9 40 0%
#10034 tcc 40 0%
#10035 pomdtr/tap/smallweb 40 0%
#10036 regldg 40 0%
#10037 instantclienttap/instantclient/instantclient-odbc 40 0%
#10038 roundup 39 0%
#10039 nucleuscloud/tap/neosync 39 0%
#10040 eth-p/software/bat-extras-batman 39 0%
#10041 shivammathur/extensions/apcu@7.3 39 0%
#10042 render-oss/render/render-alpha 39 0%
#10043 stacklok/tap/frizbee 39 0%
#10044 igorcferreira/tap/install-aab 39 0%
#10045 exegol 39 0%
#10046 burnt-labs/xion/xiond@5.0.0 39 0%
#10047 emilevr/space/space 39 0%
#10048 brewsci/bio/maxit 39 0%
#10049 digitalspacestdio/php/php84-common 39 0%
#10050 metalang99 39 0%
#10051 imgui 39 0%
#10052 brewsci/bio/arcs 39 0%
#10053 sidaf/pentest/dnsrecon 39 0%
#10054 qmk/qmk/hid_bootloader_cli 39 0%
#10055 guitorri/tap/qucs 39 0%
#10056 xlslib 39 0%
#10057 libxspf 39 0%
#10058 swifweb/tap/webber 39 0%
#10059 limbario/tap/lim 39 0%
#10060 alexanderterp/rad/rad 39 0%
#10061 latino 39 0%
#10062 digitalspacestdio/php/php56-common 39 0%
#10063 digitalspacestdio/ngdev/digitalspace-postgresql15 39 0%
#10064 lcs 39 0%
#10065 nikaro/tap/vim-language-server 39 0%
#10066 xlsclients 39 0%
#10067 devs-on-remote/shortcut/shortcut 39 0%
#10068 patthemav/custom/ffmpeg-custom 39 0%
#10069 brewsci/bio/paml 39 0%
#10070 shivammathur/extensions/couchbase@8.3 39 0%
#10071 cutzenfriend/cmdg/cmdg 39 0%
#10072 jrockway/tap/kubectl-jq 39 0%
#10073 nirmata/nctl/nctl 39 0%
#10074 pachyderm/tap/pachctl@2.11 39 0%
#10075 scws 39 0%
#10076 aws-creds 39 0%
#10077 kfr 39 0%
#10078 pothosware/pothos/libiio 39 0%
#10079 easytocloud/tap/privpage 39 0%
#10080 sv2v 39 0%
#10081 digitalspacestdio/php/php80-intl 39 0%
#10082 rs/tap/dnstrace 39 0%
#10083 hashicorp/tap/envconsul 39 0%
#10084 ctail 39 0%
#10085 libhdhomerun 39 0%
#10086 bibarrav/ceph-client/ceph-client 39 0%
#10087 thash/asana/asana 39 0%
#10088 quodlibetor/tap/git-instafix 39 0%
#10089 shivammathur/extensions/xdebug@8.5 39 0%
#10090 burnt-labs/xion/xiond@4.0.0 39 0%
#10091 jcgay/jcgay/maven-deluxe 39 0%
#10092 joshddunn/tap/mux 39 0%
#10093 digitalspacestdio/php/php81-amqp 39 0%
#10094 logswan 39 0%
#10095 jayadamsmorgan/yatoro/yatoro 39 0%
#10096 elmercsc/elmerfem/elmer 39 0%
#10097 frobtads 39 0%
#10098 koriym/brewworks/brewworks 39 0%
#10099 digitalspacestdio/php/php83-amqp 39 0%
#10100 systemcraftsman/strimzi-kafka-cli/strimzi-kafka-cli 39 0%
#10101 riscv-software-src/riscv/riscv-isa-sim 39 0%
#10102 digitalspacestdio/php/php70-igbinary 39 0%
#10103 alteredtech/test-tap/random-number@9 39 0%
#10104 libchaos 39 0%
#10105 iguana 39 0%
#10106 idsgrep 39 0%
#10107 jcal 39 0%
#10108 blitz 39 0%
#10109 whiteducksoftware/tap/azctx 39 0%
#10110 ogmtools 39 0%
#10111 blackfireio/blackfire/blackfire-php71 39 0%
#10112 dart-lang/dart/dart@3.6.0 39 0%
#10113 topgit 38 0%
#10114 git-friendly/git-friendly/git-friendly 38 0%
#10115 thrulay 38 0%
#10116 digitalspacestdio/ngdev/digitalspace-git-ssh 38 0%
#10117 brewsci/bio/magic-blast 38 0%
#10118 muandane/tap/goji 38 0%
#10119 ticky/utilities/pcem 38 0%
#10120 magic-jd/tap/is-fast 38 0%
#10121 uenob/qemu-hvf/ovmf 38 0%
#10122 burnt-labs/xion/xiond@6.0.0 38 0%
#10123 wellcode-ai/wellcode-cli/wellcode-cli 38 0%
#10124 ultimateanu/software/hashdir 38 0%
#10125 jlog 38 0%
#10126 digitalspacestdio/php/php72 38 0%
#10127 lintingzhen/tap/commitizen-go 38 0%
#10128 openmha/tap/openmha 38 0%
#10129 wslay 38 0%
#10130 zeichenanonym/ceph-client/ceph-client 38 0%
#10131 projectable 38 0%
#10132 opendoor-labs/tap/rdi 38 0%
#10133 dhth/tap/prs 38 0%
#10134 na-trium-144/webcface/webcface-webui 38 0%
#10135 datatype99 38 0%
#10136 kaiiy/tap/mohaya 38 0%
#10137 nidnogg/zeitfetch/zeitfetch 38 0%
#10138 brewsci/science/tophat 38 0%
#10139 burnt-labs/xion/xiond@0.3.2 38 0%
#10140 cxwx/cxbrew/dealii 38 0%
#10141 atlassian/tap/atlassian-plugin-sdk827 38 0%
#10142 bayandin/tap/pgvector 38 0%
#10143 evans 38 0%
#10144 cmockery2 38 0%
#10145 digitalspacestdio/php/php56-igbinary 38 0%
#10146 greptimeteam/greptime/greptime 38 0%
#10147 iits-consulting/tap/otc-auth 38 0%
#10148 digitalspacestdio/php/php72-common 38 0%
#10149 punktf 38 0%
#10150 tuist/tuist/tuist@4.39.0 38 0%
#10151 facebook/fb/fbthrift-compiler 38 0%
#10152 xshogi 38 0%
#10153 mhzawadi/cbonsai/cbonsai 38 0%
#10154 lekkodev/lekko/lekko 38 0%
#10155 pothosware/pothos/pothosblocks 38 0%
#10156 gembaadvantage/tap/uplift 38 0%
#10157 timelimit 38 0%
#10158 sdrangel 38 0%
#10159 elektra 38 0%
#10160 gnu-shogi 38 0%
#10161 rshijack 38 0%
#10162 node-red 38 0%
#10163 restish 38 0%
#10164 cs107e/cs107e/arm-none-eabi-test 38 0%
#10165 wgpsec/tap/cloudsword 38 0%
#10166 rnv 38 0%
#10167 genkiroid/cert/cert 38 0%
#10168 canac/tap/mailbox 38 0%
#10169 rlog 38 0%
#10170 shivammathur/extensions/imap@7.0 38 0%
#10171 gcr 38 0%
#10172 bytehouse-cloud/core/bytehouse-cli 38 0%
#10173 digitalspacestdio/php/php80-igbinary 38 0%
#10174 brewsci/bio/kraken 38 0%
#10175 jankuss/genq/genq 37 0%
#10176 piqoni/cast-text/cast-text 37 0%
#10177 digitalspacestdio/php/php70-gmp 37 0%
#10178 shivammathur/extensions/pecl_http@8.0 37 0%
#10179 pydantic 37 0%
#10180 alexei-led/spotinfo/spotinfo 37 0%
#10181 hayai 37 0%
#10182 javimata/optimizer/optimizer 37 0%
#10183 julien040/gut/gut 37 0%
#10184 codeeditapp/formulae/codeedit-cli 37 0%
#10185 chadwick 37 0%
#10186 vivictorg/vivictpp/vivictpp 37 0%
#10187 bayandin/tap/h3-pg 37 0%
#10188 mosml 37 0%
#10189 iptux-src/iptux/iptux 37 0%
#10190 libforensic1394 37 0%
#10191 wren 37 0%
#10192 ghc@9.4 37 0%
#10193 burnt-labs/xion/xiond@0.3.8 37 0%
#10194 pipedreamhq/pd-cli/pipedream 37 0%
#10195 obverser/zathura/zathura 37 0%
#10196 rabarbra/grub/i686-elf-grub 37 0%
#10197 cdargs 37 0%
#10198 openrtm/omniorb/omniorb-ssl-py39 37 0%
#10199 shivammathur/extensions/xdebug2@7.4 37 0%
#10200 tllist 37 0%
#10201 iron-fish/brew/ironfish 37 0%
#10202 sethrfore/r-srf/cairo-x11 37 0%
#10203 rmcast 37 0%
#10204 daviderestivo/fortune-mod/fortune-mod 37 0%
#10205 calimero-network/tap/meroctl 37 0%
#10206 get-woke/tap/woke 37 0%
#10207 autometrics-dev/tap/am 37 0%
#10208 danielfoehrkn/switch/switcher 37 0%
#10209 jichu4n/palm-os/prc-tools 37 0%
#10210 guardian/devtools/dev-nginx 37 0%
#10211 shivammathur/extensions/xlswriter@8.3 37 0%
#10212 digitalspacestdio/php/php56-pdo-pgsql 37 0%
#10213 tuist/tuist/tuist@4.43.1 37 0%
#10214 wxformbuilder 37 0%
#10215 preiter93/wireman/wireman 37 0%
#10216 tfversion/tap/tfversion 37 0%
#10217 dart-lang/dart/dart@2.16 37 0%
#10218 wiiuse 37 0%
#10219 cdalvaro/tap/catboost-cli 37 0%
#10220 robodoc 37 0%
#10221 qstat 37 0%
#10222 dty1er/tap/kubecolor 37 0%
#10223 jupp 37 0%
#10224 fiona 37 0%
#10225 libgfshare 37 0%
#10226 mobile-dev-inc/tap/ips2crash 37 0%
#10227 eso/pipelines/esopipe-fors-recipes 37 0%
#10228 digitalspacestdio/php/php73-apcu 37 0%
#10229 libquantum 37 0%
#10230 tgragnato/tap/peephole 37 0%
#10231 digitemp 37 0%
#10232 esniper 37 0%
#10233 wgpsec/tap/lc 37 0%
#10234 front-matter/commonmeta/commonmeta 37 0%
#10235 cmt 37 0%
#10236 manelatun/bottles/m4 37 0%
#10237 digitalspacestdio/php/php70-common 37 0%
#10238 mpult/mpult/rexit 37 0%
#10239 kabel/pecl/php-apcu 37 0%
#10240 ouchxp/nodenv/nodenv-nvmrc 37 0%
#10241 koriym/brewworks/phpcomplete 36 0%
#10242 sng 36 0%
#10243 cf-terraforming 36 0%
#10244 stackgenhq/stackgen/cloud2code 36 0%
#10245 takesako/sigrok/cycfx2prog 36 0%
#10246 bas55 36 0%
#10247 brewsci/bio/porechop 36 0%
#10248 tfcollins/formulae/libiio 36 0%
#10249 libbitcoin-blockchain 36 0%
#10250 liblbfgs 36 0%
#10251 s4y/reserve/reserve 36 0%
#10252 spirl/tap/spirlctl 36 0%
#10253 guergabo/antithesis/antithesis 36 0%
#10254 grimme-lab/qc/mstore 36 0%
#10255 peterldowns/tap/pgmigrate 36 0%
#10256 aws/tap/ec2-spot-interrupter 36 0%
#10257 xtitle 36 0%
#10258 libdshconfig 36 0%
#10259 funcoeszz 36 0%
#10260 immer 36 0%
#10261 kcleal/gw/gw 36 0%
#10262 nictap/tap/ooo 36 0%
#10263 liboil 36 0%
#10264 gitjournal/tap/git-auto-sync 36 0%
#10265 shivammathur/extensions/memcached@7.3 36 0%
#10266 libbitcoin-server 36 0%
#10267 lade-io/tap/lade 36 0%
#10268 upscaledb 36 0%
#10269 ibex 36 0%
#10270 fluentci-io/tap/fluentci 36 0%
#10271 uvg266 36 0%
#10272 marisa 36 0%
#10273 aripalo/tap/vegas-credentials 36 0%
#10274 shopify/shopify/shopify-imagemagick@6 36 0%
#10275 homebrew/linux-fonts/font-iosevka-term-nerd-font 36 0%
#10276 code-hex/tap/gqldoc 36 0%
#10277 gnupg@2.4 36 0%
#10278 postgis-pg17 36 0%
#10279 keith/formulae/zsh-xcode-completions 36 0%
#10280 typioca 36 0%
#10281 ebenoist/protoc-gen-doc/protoc-gen-doc 36 0%
#10282 davidchall/hep/madgraph5_amcatnlo 36 0%
#10283 batchcorp/public/plumber 36 0%
#10284 digitalspacestdio/php/php80-xdebug 36 0%
#10285 minamijoyo/tfschema/tfschema 36 0%
#10286 nginx/unit/unit-wasm 36 0%
#10287 osx-cross/arm/arm-none-eabi-binutils 36 0%
#10288 afuse 36 0%
#10289 digitalspacestdio/php/php83-msmtp 36 0%
#10290 homebrew/linux-fonts/font-fira-mono-nerd-font 36 0%
#10291 oven-sh/bun/bun@1.1.38 36 0%
#10292 dart-lang/dart/dart@2.10 36 0%
#10293 htmlcxx 36 0%
#10294 digitalspacestdio/php/php70-apcu 36 0%
#10295 toki-labs/oto/oto 36 0%
#10296 nwoolls/xgminer/cgminer 36 0%
#10297 inivation/inivation/libcaer 36 0%
#10298 parth67/git-externals/git-externals 36 0%
#10299 gabe565/tap/castsponsorskip 36 0%
#10300 stellar/tap/soroban-cli 36 0%
#10301 replxx 36 0%
#10302 comskip 36 0%
#10303 amp-labs/cli/cli 36 0%
#10304 wish/wish/wish-ctl 36 0%
#10305 brewsci/bio/bamutil 36 0%
#10306 digitalspacestdio/php/php70-tidy 36 0%
#10307 tg44/heptapod/heptapod 36 0%
#10308 week-end-manufacture/incoming/incoming 36 0%
#10309 jandedobbeleer/aliae/aliae 36 0%
#10310 int128/kauthproxy/kauthproxy 36 0%
#10311 dojofive/dojofive/clang-format@16.0.6 36 0%
#10312 jsontypedef/jsontypedef/jtd-infer 36 0%
#10313 ocaml@4 36 0%
#10314 fabric-completion 36 0%
#10315 jianzcar/packages/fuzpad 36 0%
#10316 text-generation-inference 36 0%
#10317 digitalspacestdio/php/php73-opcache 36 0%
#10318 cblecker/tap/osdctl 36 0%
#10319 bayandin/tap/neon-proxy 36 0%
#10320 stek29/idevice/tsschecker 36 0%
#10321 vrtulka23/scinumtools/scnt-puq 36 0%
#10322 ladspa-sdk 36 0%
#10323 codegentool 36 0%
#10324 shivammathur/extensions/ssh2@8.2 36 0%
#10325 pakchois 36 0%
#10326 marioschwalbe/gcc-musl-cross/gcc-8-musl-cross 36 0%
#10327 digitalspacestdio/php/php73-intl 35 0%
#10328 dbg-macro 35 0%
#10329 digitalspacestdio/php/php73-common 35 0%
#10330 federico-terzi/espanso/espanso 35 0%
#10331 digitalspacestdio/php/php80-common 35 0%
#10332 shivammathur/extensions/xdebug2@7.2 35 0%
#10333 libbitcoin-database 35 0%
#10334 brewsci/bio/racon 35 0%
#10335 fairrootgroup/fairsoft/fairsoft 35 0%
#10336 zhongruoyu/portable-ruby-aarch64-linux/portable-openssl 35 0%
#10337 osrf/simulation/ogre2.2 35 0%
#10338 datamindedbe/datafy-formulas/conveyor 35 0%
#10339 digitalspacestdio/php/php-cli-wrapper 35 0%
#10340 oclgrind 35 0%
#10341 digitalspacestdio/php/php73-igbinary 35 0%
#10342 largetifftools 35 0%
#10343 lifepillar/appleii/lisaem 35 0%
#10344 bajtyngier/r2c/r2c 35 0%
#10345 michael-simons/neo4j-migrations/neo4j-migrations 35 0%
#10346 chanzuckerberg/tap/happy 35 0%
#10347 shivammathur/extensions/ssh2@7.4 35 0%
#10348 nutcracker 35 0%
#10349 msdl 35 0%
#10350 digitalspacestdio/php/php71-xdebug 35 0%
#10351 talos-systems/talos/talosctl 35 0%
#10352 umka 35 0%
#10353 format-udf 35 0%
#10354 digitalspacestdio/php/php73-sodium 35 0%
#10355 maaassistantarknights/tap/opencv-maa 35 0%
#10356 magnusopera/tap/terrabuild 35 0%
#10357 pothosware/pothos/soapyairspyhf 35 0%
#10358 danvk/webdiff/webdiff 35 0%
#10359 pk 35 0%
#10360 sidekick-cli 35 0%
#10361 digitalspacestdio/php/php70-sodium 35 0%
#10362 rfcstrip 35 0%
#10363 lando 35 0%
#10364 burnt-labs/xion/xiond@10.0.0 35 0%
#10365 alexdredmon/arcode/arcode 35 0%
#10366 tokiwong/tap/helm-switcher 35 0%
#10367 nugulinux/sdk/libnugu 35 0%
#10368 digitalspacestdio/php/php70-redis 35 0%
#10369 bayandin/tap/pg_jsonschema 35 0%
#10370 iowow 35 0%
#10371 spidermonkey@91 35 0%
#10372 na-trium-144/webcface/curl-ws 35 0%
#10373 tueda/loops/reduze 35 0%
#10374 afnanenayet/tap/diffsitter 35 0%
#10375 shivammathur/extensions/msgpack@8.4 35 0%
#10376 kojix2/brew/checksum 35 0%
#10377 fabianishere/personal/pam_reattach 35 0%
#10378 bsdconv 35 0%
#10379 infection/infection/infection 35 0%
#10380 tbd54566975/ftl/ftl 35 0%
#10381 dbt-labs/dbt-cli/dbtcloud-terraforming 35 0%
#10382 shivammathur/extensions/xlswriter@7.4 35 0%
#10383 kona 35 0%
#10384 martian-software-inc/chatkeeper/chatkeeper 35 0%
#10385 martinpaljak/brew/gppro 35 0%
#10386 interlynk-io/interlynk/sbomasm 35 0%
#10387 baochuquan/tap/git-reviewer 35 0%
#10388 ddddddo/tap/gtree 35 0%
#10389 apjanke/ronn-ng/ronn-ng 35 0%
#10390 keaz/homebrew/kfcli 35 0%
#10391 marzvrover/tap/openterface_qt 35 0%
#10392 wish/wish/wish-dev 35 0%
#10393 wish/wish/dobi 35 0%
#10394 libtextcat 35 0%
#10395 osrf/simulation/ogre2.1 35 0%
#10396 lr 35 0%
#10397 elc-online/tap/elco 35 0%
#10398 germag/podman-bootc/podman-bootc 35 0%
#10399 devsunb/tap/kanata-vk-agent 34 0%
#10400 svt/avtools/libsrf-proxy-filter 34 0%
#10401 rife2/tools/bld 34 0%
#10402 bearsunday/greet/greet 34 0%
#10403 abdiooa/tools/zeroward 34 0%
#10404 shivammathur/extensions/pcov@7.4 34 0%
#10405 gistit 34 0%
#10406 fruit 34 0%
#10407 nylas/nylas-cli/nylas 34 0%
#10408 newrelic/tap/newrelic-infra-agent 34 0%
#10409 librearp/librearp/librearp 34 0%
#10410 digitalspacestdio/php/php70-mongodb 34 0%
#10411 dud 34 0%
#10412 authzed/tap/spicedb@1.33.0 34 0%
#10413 dzfrias/formulae/projectable 34 0%
#10414 rasqal 34 0%
#10415 brewsci/bio/phyml 34 0%
#10416 unum 34 0%
#10417 rustywind 34 0%
#10418 zhongruoyu/portable-ruby-aarch64-linux/portable-libyaml 34 0%
#10419 lolcode 34 0%
#10420 bayandin/tap/postgresql-unit 34 0%
#10421 shuttle 34 0%
#10422 carlocab/personal/libwhich 34 0%
#10423 nodenv/nodenv/nodenv-nvmrc 34 0%
#10424 dnscrypt-wrapper 34 0%
#10425 perceptualdiff 34 0%
#10426 miloas/zig1/zig 34 0%
#10427 autobench 34 0%
#10428 llvm-hs/llvm/llvm-9 34 0%
#10429 jkawamoto/pixeldrain/pixeldrain 34 0%
#10430 crotwell/crotwell/taup 34 0%
#10431 digitalspacestdio/php/php72-xdebug 34 0%
#10432 caryll/tap/otfcc-mac64 34 0%
#10433 shivammathur/extensions/redis@7.1 34 0%
#10434 ethereum/ethereum/solidity@6 34 0%
#10435 fstrm 34 0%
#10436 rockymadden/rockymadden/slack-cli 34 0%
#10437 rofi 34 0%
#10438 etopeter/tap/kubectl-view-utilization 34 0%
#10439 burnt-labs/xion/xiond@8.0.1 34 0%
#10440 moonfruit/tap/wlp-webprofile8 34 0%
#10441 mroonga/mroonga/mroonga 34 0%
#10442 hjorthjort/hjort/gitinspector 34 0%
#10443 brewsci/science/g2o 34 0%
#10444 hypopg 34 0%
#10445 shivammathur/extensions/msgpack@7.4 34 0%
#10446 ampersandhq/php/amp-php@8.1 34 0%
#10447 mikescher/tap/dops 34 0%
#10448 waynezhang/tap/foto 34 0%
#10449 cartesi/tap/cartesi-machine 34 0%
#10450 csmith 34 0%
#10451 sgrep 34 0%
#10452 shivammathur/extensions/pecl_http@8.4 34 0%
#10453 coin-or-tools/coinor/osi 34 0%
#10454 qmk/qmk/mdloader 34 0%
#10455 cvent/tap/hogan 34 0%
#10456 kitagry/tap/regols 34 0%
#10457 shivammathur/extensions/gearman@8.3 34 0%
#10458 d235j/ios-restore-tools/ra1nsn0w 34 0%
#10459 ctc97/gnuddha-tap/gnuddha 34 0%
#10460 digitalspacestdio/ngdev/digitalspace-lua-resty-core 34 0%
#10461 tideways/profiler/tideways-cli 34 0%
#10462 macmade/tap/trash 34 0%
#10463 digitalspacestdio/php/php80-redis 33 0%
#10464 appleboy/tap/gorush 33 0%
#10465 homebrew/linux-fonts/font-hasklug-nerd-font 33 0%
#10466 saxon-b 33 0%
#10467 haimgel/tools/display_switch 33 0%
#10468 ghc@8.10 33 0%
#10469 netfetch 33 0%
#10470 digitalspacestdio/php/php73-pdo-pgsql 33 0%
#10471 tractorgen 33 0%
#10472 hashhavoc/tap/teller 33 0%
#10473 parra-inc/tap/parra-cli 33 0%
#10474 brannala/ba3/ba3 33 0%
#10475 icecube/icecube/photospline 33 0%
#10476 mairix 33 0%
#10477 zlib-ng-compat 33 0%
#10478 brewsci/bio/centrifuge 33 0%
#10479 vorbisgain 33 0%
#10480 eso/pipelines/pyesorex 33 0%
#10481 crackpkcs 33 0%
#10482 hyde46/hoard/hoard 33 0%
#10483 soft-serve 33 0%
#10484 elken/jank/jank 33 0%
#10485 infrahouse/infrahouse-toolkit/infrahouse-toolkit 33 0%
#10486 zxcc 33 0%
#10487 digitalspacestdio/php/php70-pdo-pgsql 33 0%
#10488 pachi 33 0%
#10489 pulumi/tap/kube2pulumi 33 0%
#10490 digitalspacestdio/php/php56-opcache 33 0%
#10491 jakob-bagterp/timer-for-python/timer-for-python 33 0%
#10492 aselvan/formulas/aselvan-scripts 33 0%
#10493 semver 33 0%
#10494 tfprovidercheck 33 0%
#10495 davidchall/hep/sherpa 33 0%
#10496 digitalspacestdio/php/php80-zip 33 0%
#10497 brewsci/bio/meme 33 0%
#10498 eoleedi/timetree-exporter/timetree-exporter 33 0%
#10499 nftables 33 0%
#10500 gromgit/fuse/curlftpfs-mac 33 0%
#10501 tmpwatch 33 0%
#10502 gitslave 33 0%
#10503 acarl005/formulas/ls-go 33 0%
#10504 digitalspacestdio/php/php73-gmp 33 0%
#10505 brittonhayes/pillager/pillager 33 0%
#10506 jerm 33 0%
#10507 mosra/magnum/corrade 33 0%
#10508 shivammathur/extensions/xdebug@7.0 33 0%
#10509 sixtunnel 33 0%
#10510 digitalspacestdio/php/php82-amqp 33 0%
#10511 davegallant/public/vpngate 33 0%
#10512 grpcmd/tap/grpcmd 33 0%
#10513 homebrew-zathura/zathura/zathura-pdf-poppler 33 0%
#10514 mpdas 33 0%
#10515 wilkart/gridcoin/gridcoin-cli 33 0%
#10516 seatedro/glimpse/glimpse 33 0%
#10517 precomp 33 0%
#10518 aiken-lang/tap/aiken 33 0%
#10519 kopia/test-builds/kopia 33 0%
#10520 monroestephenson/database-backup/hegemon 33 0%
#10521 yalantinglibs 33 0%
#10522 shivammathur/extensions/couchbase@8.2 33 0%
#10523 dojofive/dojofive/cppcheck@2.7.1 33 0%
#10524 bayandin/tap/teleport@16 33 0%
#10525 seqeralabs/tap/wave-cli 33 0%
#10526 pari-nflistdata 33 0%
#10527 shivammathur/extensions/snmp@7.4 33 0%
#10528 optimizers/cutest/mastsif 33 0%
#10529 shivammathur/extensions/event@8.3 33 0%
#10530 libcello 33 0%
#10531 nzbgetcom 33 0%
#10532 maxchuquimia/scripts/xcgrapher 33 0%
#10533 avpres/formulae/openlto 33 0%
#10534 lout 33 0%
#10535 atchox/brew/yamet 33 0%
#10536 brewsci/bio/mothur 33 0%
#10537 shivammathur/extensions/snmp@7.3 33 0%
#10538 thecasualcoder/stable/kube-fzf 33 0%
#10539 darwin-containers/formula/rund 33 0%
#10540 digitalspacestdio/php/php81-rdkafka 33 0%
#10541 fastly 33 0%
#10542 domt4/chromium/chromium 33 0%
#10543 brewsci/bio/tbl2asn 33 0%
#10544 sec 33 0%
#10545 cppp 33 0%
#10546 digitalspacestdio/ngdev/digitalspace-traefik 33 0%
#10547 netmute/tap/ctags-lsp 33 0%
#10548 fortio/tap/dnsping 33 0%
#10549 amritghimire/jira-terminal/jira-terminal 33 0%
#10550 vitorgalvao/tiny-scripts/tape 33 0%
#10551 r-nacos/r-nacos/r-nacos 33 0%
#10552 navicatgithub/monitor-3/navicatmonitor3 33 0%
#10553 chaiscript 33 0%
#10554 titan-server 33 0%
#10555 enciyo/taps/gh-che 33 0%
#10556 shivammathur/extensions/xlswriter@8.2 33 0%
#10557 elgar328/nfd2nfc/nfd2nfc 33 0%
#10558 hspell 32 0%
#10559 0ihsan/packages/mcal 32 0%
#10560 shivammathur/extensions/snmp@8.0 32 0%
#10561 zendesk/devops/zen 32 0%
#10562 interface99 32 0%
#10563 bayandin/tap/hypopg 32 0%
#10564 httpflow 32 0%
#10565 homebrew/linux-fonts/font-0xproto-nerd-font 32 0%
#10566 gaul 32 0%
#10567 dart-lang/dart/dart@3.5.0 32 0%
#10568 nom 32 0%
#10569 marcus-crane/tap/khinsider 32 0%
#10570 andriiklymiuk/tools/corgi 32 0%
#10571 signalwire/signalwire/signalwire-c 32 0%
#10572 wrf-cmake/wrf/wrf-cmake 32 0%
#10573 smartypants 32 0%
#10574 kaishuu0123/erd-go/erd-go 32 0%
#10575 do 32 0%
#10576 ethereum/ethereum/cpp-ethereum 32 0%
#10577 tonarino/innernet/innernet 32 0%
#10578 nohal/navutils/rtl-ais 32 0%
#10579 shivammathur/extensions/mailparse@8.2 32 0%
#10580 digitalspacestdio/php/php81-msmtp 32 0%
#10581 vramsteg 32 0%
#10582 bloznelis/tap/kbt 32 0%
#10583 buildkite/buildkite/buildkite-cli 32 0%
#10584 rundel/quarto-cli/quarto-dev 32 0%
#10585 imthaghost/scdl/scdl 32 0%
#10586 nanovms/ops/ops 32 0%
#10587 lgarron/lgarron/hevc 32 0%
#10588 buildio/cli/bld 32 0%
#10589 brewsci/bio/alphafill 32 0%
#10590 szampier/pipelines/uves 32 0%
#10591 exodusmovement/alt/cocoapods 32 0%
#10592 eso/pipelines/telluriccorr 32 0%
#10593 iir1 32 0%
#10594 mda-lv2 32 0%
#10595 zhongruoyu/portable-ruby-aarch64-linux/portable-libffi 32 0%
#10596 imhansai/proxy/mihomo 32 0%
#10597 atasm 32 0%
#10598 derlin/bitdowntoc/bitdowntoc 32 0%
#10599 libpostal-rest 32 0%
#10600 digitalspacestdio/php/php73-redis 32 0%
#10601 m4ri 32 0%
#10602 brewsci/bio/libcifpp 32 0%
#10603 digitalspacestdio/php/php73-mongodb 32 0%
#10604 dart-lang/dart/dart@3.2.0 32 0%
#10605 bayandin/tap/pgtap 32 0%
#10606 orangekame3/tap/paclear 32 0%
#10607 defenseunicorns/tap/uds@0.13.0 32 0%
#10608 cartman-kai/thrift/thrift@0.11 32 0%
#10609 burnt-labs/xion/xiond@8.0.0 32 0%
#10610 pari-seadata-big 32 0%
#10611 shinokada/consize/consize 32 0%
#10612 liudangyi/i386-jos-elf-gcc/i386-jos-elf-gcc 32 0%
#10613 easy-cass-lab 32 0%
#10614 tgtakaoka/mspgcc/binutils-msp430 32 0%
#10615 fairymax 32 0%
#10616 digitalspacestdio/php/php70-opcache 32 0%
#10617 ttf2pt1 32 0%
#10618 ievms 32 0%
#10619 audiobookshelf 32 0%
#10620 digitalspacestdio/php/php56-mongodb 32 0%
#10621 brewsci/science/pear 32 0%
#10622 digitalspacestdio/php/php70-ldap 32 0%
#10623 bayandin/tap/pg_tiktoken 32 0%
#10624 brotherbui/homebrew/setapp-service 32 0%
#10625 unarj 32 0%
#10626 vf 32 0%
#10627 manticoresoftware/tap-dev/manticoresearch-dev 32 0%
#10628 kenseitehdev/least/least 32 0%
#10629 brewsci/bio/taxonkit 32 0%
#10630 robots 32 0%
#10631 gwenwindflower/tbd/tbd 32 0%
#10632 minigraph 32 0%
#10633 smlsharp/smlsharp/smlsharp 32 0%
#10634 elastic/tap/logstash-full 32 0%
#10635 eso/pipelines/esopipe-espdr-recipes 32 0%
#10636 atopile/tap/atopile 32 0%
#10637 homebrew/cask/netatalk 32 0%
#10638 mutagen-io/mutagen/mutagen@0.16 32 0%
#10639 gromgit/fuse/afuse-mac 32 0%
#10640 avito-tech/tap/emcee 32 0%
#10641 digitalspacestdio/php/php56-sodium 32 0%
#10642 mecab-unidic-extended 32 0%
#10643 egovelox/mozeidon/mozeidon-native-app 32 0%
#10644 actuallytaylor/formulae/jelly 32 0%
#10645 molovo/revolver/revolver 32 0%
#10646 libdvbcsa 32 0%
#10647 richard-vd/musl-cross/musl-cross 32 0%
#10648 mpck 32 0%
#10649 burnt-labs/xion/xiond@0.1.0 32 0%
#10650 vlmcsd 32 0%
#10651 nikhil1raghav/tap/kindle-send 31 0%
#10652 numworks/tap/arm-none-eabi-gcc 31 0%
#10653 digitalspacestdio/php/php73-ldap 31 0%
#10654 digitalspacestdio/php/php80-sodium 31 0%
#10655 digitalspacestdio/php/php73-tidy 31 0%
#10656 digitalspacestdio/php/php70-zip 31 0%
#10657 digitalspacestdio/php/php56-redis 31 0%
#10658 philj56/gbcc/gbcc 31 0%
#10659 rhai 31 0%
#10660 homebrew/linux-fonts/font-daddy-time-mono-nerd-font 31 0%
#10661 nsuds 31 0%
#10662 burnt-labs/xion/xiond@0.3.3 31 0%
#10663 bazelbuild/tap/bazel@6.0.0 31 0%
#10664 vaishnav-sabari-girish/arduino-cli-interactive/aci 31 0%
#10665 jrmastermodelbuilder/megatools/megatools-stable 31 0%
#10666 jlp04/homebrew/tilp 31 0%
#10667 ircd-irc2 31 0%
#10668 octave-app/octave-app/octave-stable 31 0%
#10669 cqlabs/dcm/dcm@1.27.0 31 0%
#10670 bayandin/tap/plv8 31 0%
#10671 termbg 31 0%
#10672 svt/avtools/libsvg-proxy-filter 31 0%
#10673 ampersandhq/php/amp-php@8.2 31 0%
#10674 ovyerus/klog/klog 31 0%
#10675 mactelnet 31 0%
#10676 dehydrated 31 0%
#10677 vertx-distrib/tap/vertx4 31 0%
#10678 digitalspacestdio/php/php73-xdebug 31 0%
#10679 rduo1009/tap/adobe-fonts-revealer 31 0%
#10680 simple-mtpfs 31 0%
#10681 heatshrink 31 0%
#10682 opentdf-cli 31 0%
#10683 leancodepl/tools/mobile-tools 31 0%
#10684 wordgen 31 0%
#10685 jimihford/hendrix/unnks 31 0%
#10686 classmethod/repos/aurl 31 0%
#10687 liborigin 31 0%
#10688 cleishm/neo4j/libneo4j-client 31 0%
#10689 digitalspacestdio/php/php84-pdo-pgsql 31 0%
#10690 modgit 31 0%
#10691 brewsci/bio/smalt 31 0%
#10692 superbet-group/tap/lola 31 0%
#10693 erikw/tap/restic-automatic-backup-scheduler 31 0%
#10694 bpmnlint 31 0%
#10695 hctl 31 0%
#10696 brewsci/bio/ntcard 31 0%
#10697 shivammathur/extensions/mongodb@8.5 31 0%
#10698 digitalspacestdio/php/php71-gmp 31 0%
#10699 aconchillo/guile/g-golf 31 0%
#10700 thombashi/sqlitebiter/sqlitebiter 31 0%
#10701 shivammathur/extensions/rdkafka@8.4 31 0%
#10702 homebrew/linux-fonts/font-open-dyslexic-nerd-font 31 0%
#10703 salt 31 0%
#10704 fnstruct/tap/ris 31 0%
#10705 macmade/tap/dyld-cache-dump 31 0%
#10706 spinframework/tap/spin 31 0%
#10707 timescam/tap/pay-respects 31 0%
#10708 dockcheck 31 0%
#10709 vzhd1701/tap/csv2notion 31 0%
#10710 fusionauth/fusionauth/fusionauth-search 31 0%
#10711 doron-cohen/tap/antidot 31 0%
#10712 burnt-labs/xion/xiond@0.3.7 31 0%
#10713 testingisdocumenting/brew/znai 31 0%
#10714 sxzz/brew/tsgo 31 0%
#10715 tmspzz/tap/rome 31 0%
#10716 zhongruoyu/portable-ruby-aarch64-linux/portable-zlib 31 0%
#10717 kubecfg/kubit/kubit 31 0%
#10718 mikelorant/committed/committed 31 0%
#10719 libversion 31 0%
#10720 gromgit/fuse/unionfs-fuse 31 0%
#10721 dscanner 31 0%
#10722 gtksourceview 31 0%
#10723 libdvbpsi 31 0%
#10724 digitalspacestdio/php/php56-zip 31 0%
#10725 peaceiris/tap/tss 31 0%
#10726 digzyme/third-party-tools/famsa 31 0%
#10727 logcheck 31 0%
#10728 org-formation 31 0%
#10729 grt 31 0%
#10730 digitalspacestdio/php/php72-pdo-pgsql 31 0%
#10731 jesseduffield/horcrux/horcrux 31 0%
#10732 magika 31 0%
#10733 um 31 0%
#10734 nonchalant/appicon/appicon 31 0%
#10735 brewsci/bio/meme@4.11.2 31 0%
#10736 gabe565/tap/ansi2txt 31 0%
#10737 optum/tap/runiac 31 0%
#10738 digitalspacestdio/php/php71-igbinary 31 0%
#10739 cobo-cli-wqvv 31 0%
#10740 nasa-sw-vnv/core/apron 30 0%
#10741 autokitteh/tap/autokitteh 30 0%
#10742 rtimush/tap/tenpureto 30 0%
#10743 openemv/tap/tr31 30 0%
#10744 brewsci/bio/stacks 30 0%
#10745 mikesmithgh/git-prompt-string/git-prompt-string 30 0%
#10746 digitalspacestdio/php/php84-redis 30 0%
#10747 libnsgif 30 0%
#10748 moul/moul/docker-diff 30 0%
#10749 mopidy/mopidy/mopidy-spotify 30 0%
#10750 cqlabs/dcm/dcm@1.23.0 30 0%
#10751 blacktop/tap/ipswd 30 0%
#10752 gromgit/fuse/fuse-zip-mac 30 0%
#10753 flow-tools 30 0%
#10754 manelatun/bottles/autoconf 30 0%
#10755 zeabur/tap/cli 30 0%
#10756 initia-labs/tap/weave 30 0%
#10757 digitalspacestdio/php/php82-msmtp 30 0%
#10758 nik-rev/tap/countryfetch 30 0%
#10759 digitalspacestdio/php/php72-ldap 30 0%
#10760 bayandin/tap/ip4r 30 0%
#10761 homebrew/linux-fonts/font-inter 30 0%
#10762 darwin-containers/formula/dockerd 30 0%
#10763 brewsci/science/scamp 30 0%
#10764 jianshu93/graphembed/graphembed 30 0%
#10765 freecad/freecad/freecad@0.20.2_py310 30 0%
#10766 macaulay2/tap/givaro 30 0%
#10767 signcl/tap/bayes 30 0%
#10768 touchbistro/tap/tb 30 0%
#10769 devcyclehq/cli/devcycle 30 0%
#10770 ktemkin/oss-fpga/project-trellis 30 0%
#10771 shivammathur/extensions/snmp@7.2 30 0%
#10772 lets-cli/tap/lets 30 0%
#10773 bayandin/tap/pg_cron 30 0%
#10774 mindvalley/wukong/wukong 30 0%
#10775 marwanhawari/tap/stew 30 0%
#10776 fnstruct/ignore-tap/ris 30 0%
#10777 trilinos 30 0%
#10778 hilite 30 0%
#10779 brewsci/science/qualimap 30 0%
#10780 shivammathur/extensions/snmp@5.6 30 0%
#10781 vencord-installer 30 0%
#10782 szampier/pipelines/edps 30 0%
#10783 digitalspacestdio/php/php80-amqp 30 0%
#10784 indirect/tap/horse_fortunes 30 0%
#10785 kylef/formulae/podenv 30 0%
#10786 travisvn/tap/gptree 30 0%
#10787 unionai/tap/uctl 30 0%
#10788 python-flit-core 30 0%
#10789 decarabas/tap/fwd 30 0%
#10790 coady/tap/pylucene 30 0%
#10791 hughbien/tap/thyme 30 0%
#10792 db-vcs 30 0%
#10793 bic 30 0%
#10794 homebrew/linux-fonts/font-linux-libertine 30 0%
#10795 digitalspacestdio/php/php71-apcu 30 0%
#10796 bazelbuild/tap/bazel@6.2.1 30 0%
#10797 zanata-client 30 0%
#10798 simplydanny/pass-extensions/pass-update 30 0%
#10799 shivammathur/extensions/newrelic@8.3 30 0%
#10800 sijanc147/formulas/macprefs 30 0%
#10801 naga 30 0%
#10802 robotastic/hackrf/gr-osmosdr 30 0%
#10803 digitalspacestdio/php/php84 30 0%
#10804 gerrit-tools 30 0%
#10805 sproxy 30 0%
#10806 digitalspacestdio/php/php80-ldap 30 0%
#10807 yass 30 0%
#10808 conduktorctl 30 0%
#10809 digitalspacestdio/php/php73-zip 30 0%
#10810 creasty/tools/rid 30 0%
#10811 digitalspacestdio/php/php71-sodium 30 0%
#10812 danielbayley/yamlscript/ys 30 0%
#10813 ucg 30 0%
#10814 ghostty 30 0%
#10815 libswiftnav 30 0%
#10816 homebrew/nginx/nginx-full 30 0%
#10817 reitermarkus/tap/lockscreen 30 0%
#10818 ladicle/kubectl-bindrole/kubectl-rolesum 30 0%
#10819 dronenb/tap/nmstatectl 30 0%
#10820 jackt72xp/cli/multims 30 0%
#10821 udis86 30 0%
#10822 homebrew/linux-fonts/font-profont-nerd-font 30 0%
#10823 zhongruoyu/portable-ruby-aarch64-linux/portable-libxcrypt 30 0%
#10824 decodableco/decodable/decodable 30 0%
#10825 kevemueller/ksysroot/ksysroot_riscv64-freebsd 30 0%
#10826 swiftotter/den/den 30 0%
#10827 digitalspacestdio/php/php80-mongodb 30 0%
#10828 digitalspacestdio/php/php72-mongodb 30 0%
#10829 digitalspacestdio/php/php72-gmp 30 0%
#10830 wren-cli 30 0%
#10831 vo-amrwbenc 30 0%
#10832 auditwheel 30 0%
#10833 aisipos/libgnomeui/etherape 30 0%
#10834 jsmn 30 0%
#10835 idleberg/asahi/dbxcli 30 0%
#10836 conductorone/baton/baton-ldap 30 0%
#10837 i2c-tools 30 0%
#10838 better/brew/plutus 30 0%
#10839 shebang-labs/tap/terraform-state-split 30 0%
#10840 elasticsearch@6 30 0%
#10841 b4b4r07/tap/gomi 30 0%
#10842 homebrew/linux-fonts/font-monaspace-nerd-font 29 0%
#10843 brewsci/bio/pilon 29 0%
#10844 hdf5@1.8 29 0%
#10845 pikami/brew/cosmium 29 0%
#10846 ryooooooga/tap/zabrze 29 0%
#10847 gpcslots2 29 0%
#10848 orangekame3/tap/mk 29 0%
#10849 contensis/cli/contensis-cli-linux 29 0%
#10850 eso/pipelines/esopipe-eris-recipes 29 0%
#10851 dagger/tap/dagger-cue 29 0%
#10852 shivammathur/extensions/pdo_sqlsrv@8.2 29 0%
#10853 digitalspacestdio/php/php56-xdebug 29 0%
#10854 z80oolong/appimage/appimagetool 29 0%
#10855 skyzyx/webp/webp-full 29 0%
#10856 shyiko/ktlint/ktlint 29 0%
#10857 mykolaharmash/git-jump/git-jump 29 0%
#10858 formantio/formant/fctl 29 0%
#10859 cyfrin/tap/aderyn 29 0%
#10860 homebrew/linux-fonts/font-bigblue-terminal-nerd-font 29 0%
#10861 digitalspacestdio/php/php80-tidy 29 0%
#10862 joshdk/tap/aws-saml 29 0%
#10863 gowall 29 0%
#10864 sikalabs/tap/slu 29 0%
#10865 robertjakub/teller/googerteller 29 0%
#10866 libdaemon 29 0%
#10867 rigdev/tap/rig-ops 29 0%
#10868 eso/pipelines/esopipe-fors 29 0%
#10869 spnw/formulae/mpc-bar 29 0%
#10870 denji/nginx/rtmp-nginx-module 29 0%
#10871 vaibhavs10/tap/llama.cpp 29 0%
#10872 mindtooth/mindtooth/wolfictl 29 0%
#10873 dart-lang/dart/dart@3.0.7 29 0%
#10874 homebrew/linux-fonts/font-terminess-ttf-nerd-font 29 0%
#10875 microsoft-authentication-cli 29 0%
#10876 kabel/pecl/php-redis 29 0%
#10877 shivammathur/extensions/opentelemetry@8.3 29 0%
#10878 eth-p/software/bat-extras-batgrep 29 0%
#10879 archivemount 29 0%
#10880 akash-network/tap/akash 29 0%
#10881 txn2/tap/txeh 29 0%
#10882 behaviortree.cpp 29 0%
#10883 stable-diffusion-webui 29 0%
#10884 digitalspacestdio/php/php71-intl 29 0%
#10885 digitalspacestdio/php/php74-msmtp 29 0%
#10886 snowflakedb/fuse/fuse-secret 29 0%
#10887 shivammathur/extensions/igbinary@8.0 29 0%
#10888 roll 29 0%
#10889 monroestephenson/database-backup/database-backup 29 0%
#10890 lineage-in-a-box 29 0%
#10891 matiaskorhonen/paper-age/paper-age 29 0%
#10892 gromgit/fuse/mp3fs-mac 29 0%
#10893 digitalspacestdio/php/php80-opcache 29 0%
#10894 manelatun/bottles/automake 29 0%
#10895 8ta4/plist/plist 29 0%
#10896 grimme-lab/qc/s-dftd3 29 0%
#10897 appgate/tap/sdpctl 29 0%
#10898 pd3v/hbi/hbi 29 0%
#10899 rttr 29 0%
#10900 thrax 29 0%
#10901 applicaster/tap/zapptool 29 0%
#10902 micro_inetd 29 0%
#10903 jckuester/tap/awsrm 29 0%
#10904 shivammathur/extensions/imagick@7.2 29 0%
#10905 flowerinthenight/tap/kubepfm 29 0%
#10906 shivammathur/extensions/snmp@7.1 29 0%
#10907 fahasch/formulae/hsbcl 29 0%
#10908 kcgi 29 0%
#10909 wilmoore/formulae/php-version 29 0%
#10910 codeskyblue/tap/gohttpserver 29 0%
#10911 making/tap/rsc 29 0%
#10912 pothosware/pothos/limesuite 29 0%
#10913 ammarabouzor/tui-journal/tui-journal 29 0%
#10914 cartr/qt4/qt-legacy-formula 29 0%
#10915 sc68 29 0%
#10916 jrmastermodelbuilder/megatools/megatools-stable-extra 29 0%
#10917 eso/pipelines/esopipe-espdr 29 0%
#10918 tueda/loops/qgraf 29 0%
#10919 shivammathur/extensions/newrelic@8.2 29 0%
#10920 zerotier/tap/libzt 29 0%
#10921 bayandin/tap/postgresql-hll 29 0%
#10922 stork-search/stork-tap/stork 29 0%
#10923 omnistrate/tap/omnistrate-ctl 29 0%
#10924 digzyme/third-party-tools/modeltest-ng 29 0%
#10925 umlx5h/tap/gtrash 29 0%
#10926 ampersandhq/php/amp-php@7.4 29 0%
#10927 deponian/tap/logalize 29 0%
#10928 supabase/tap/dbdev 29 0%
#10929 dbt-labs/dbt-cli/dbt-cloud-cli 29 0%
#10930 blacktop/tap/apfs 29 0%
#10931 homebrew/linux-fonts/font-3270-nerd-font 29 0%
#10932 brewsci/bio/last 29 0%
#10933 gojektech/gojek/proctor 29 0%
#10934 dvorak7min 29 0%
#10935 stacksmashing/tap/openocd-tamarin 29 0%
#10936 bayandin/tap/prefix 29 0%
#10937 finatra 29 0%
#10938 rasterio 29 0%
#10939 azbuilder/cli/terrakube 29 0%
#10940 aws/tap/aws-simple-ec2-cli 29 0%
#10941 drewdeponte/oss/git-ps-rs 29 0%
#10942 libgadu 29 0%
#10943 shivammathur/extensions/xdebug@7.1 29 0%
#10944 digitalspacestdio/php/php80-pdo-pgsql 29 0%
#10945 digitalspacestdio/php/php72-redis 29 0%
#10946 yor 29 0%
#10947 fortio/tap/fortiotel 29 0%
#10948 g-node/pkg/gin-cli 29 0%
#10949 lemoony/tap/snipkit 29 0%
#10950 bluebricks-co/bricks/bricks 29 0%
#10951 leetgo 28 0%
#10952 danpashin/repo/untrunc 28 0%
#10953 eso/pipelines/esopipe-xshoo 28 0%
#10954 eso/pipelines/esopipe-spher 28 0%
#10955 t1utils 28 0%
#10956 brewsci/science/slepc 28 0%
#10957 bogofilter 28 0%
#10958 easytocloud/tap/for-tools 28 0%
#10959 hashicorp/tap/levant 28 0%
#10960 homebrew/linux-fonts/font-ubuntu-nerd-font 28 0%
#10961 raszi/tap/colorize 28 0%
#10962 dholm/sdr/cubicsdr 28 0%
#10963 shivammathur/extensions/pecl_http@8.5 28 0%
#10964 dex4er/tap/tf 28 0%
#10965 eso/pipelines/esopipe-nirps-recipes 28 0%
#10966 redcode/zxe/z80 28 0%
#10967 digitalspacestdio/php/php72-opcache 28 0%
#10968 tcpsplit 28 0%
#10969 hazelcast/hz/hazelcast@5.4.0 28 0%
#10970 homebrew/linux-fonts/font-go-mono-nerd-font 28 0%
#10971 shivammathur/extensions/mailparse@8.4 28 0%
#10972 digitalspacestdio/php/php72-intl 28 0%
#10973 mmtabbarview 28 0%
#10974 dashjoin/tap/jsonata 28 0%
#10975 akeru-inc/tap/xcnotary 28 0%
#10976 wabarc/wayback/wayback 28 0%
#10977 piqoni/hn-text/hn-text 28 0%
#10978 kirimase 28 0%
#10979 nikaro/tap/goimports 28 0%
#10980 cqlabs/dcm/dcm@1.25.0 28 0%
#10981 ewenquim/repo/entropy 28 0%
#10982 microsoft/sysinternalstap/procdump 28 0%
#10983 digitalspacestdio/php/php71-redis 28 0%
#10984 digitalspacestdio/php/php72-igbinary 28 0%
#10985 grimme-lab/qc/gcp 28 0%
#10986 retrodeep-io/retrodeep-cli/retrodeep-cli 28 0%
#10987 pythonswiftlink/tools/swiftonize_dev 28 0%
#10988 arcadeai/tap/arcade-engine 28 0%
#10989 1debit/chime/compass 28 0%
#10990 jrmastermodelbuilder/megatools/megatools-experimental 28 0%
#10991 instantclienttap/instantclient/instantclient-arm64-basic 28 0%
#10992 telemaco019/kubesafe/kubesafe 28 0%
#10993 riscv-software-src/riscv/riscv-pk 28 0%
#10994 friendsofgo/tap/killgrave 28 0%
#10995 exomizer 28 0%
#10996 shivammathur/extensions/apcu@7.2 28 0%
#10997 brewsci/bio/varscan 28 0%
#10998 snowball 28 0%
#10999 notjoemartinez/yt-fts/yt-fts 28 0%
#11000 typeshare 28 0%
#11001 kitchen-completion 28 0%
#11002 crm114 28 0%
#11003 hak5/wifi-coconut/wifi-coconut 28 0%
#11004 grimme-lab/qc/mctc-lib 28 0%
#11005 fujiwara/tap/kinesis-tailf 28 0%
#11006 mobile-dev-inc/tap/symbolicator 28 0%
#11007 appcd-dev/appcd/stackgen 28 0%
#11008 dart-lang/dart/dart@2.8 28 0%
#11009 davidchall/hep/hepmc2 28 0%
#11010 taylormonacelli/tools/cloudeagle 28 0%
#11011 qt62 28 0%
#11012 lgarron/lgarron/openscad-auto 28 0%
#11013 aserto-dev/tap/ds-load 28 0%
#11014 mranno/tap/criterion 28 0%
#11015 afio 28 0%
#11016 mia-platform/tap/miactl 28 0%
#11017 mayowa-ojo/tap/chmod-cli 28 0%
#11018 ssdb 28 0%
#11019 kevemueller/ksysroot/ksysroot_native 28 0%
#11020 asleepycat/tap/tfswitcher 28 0%
#11021 txtx/txtx/txtx 28 0%
#11022 homebrew/linux-fonts/font-caskaydia-mono-nerd-font 28 0%
#11023 homebrew/linux-fonts/font-gohufont-nerd-font 28 0%
#11024 amagioss/slv/slv 28 0%
#11025 shivammathur/extensions/v8js@8.3 28 0%
#11026 thin-edge/tedge/tedge 28 0%
#11027 tdavidcl/adaptivecpp/adaptivecpp 28 0%
#11028 petere/postgresql/postgresql@9.1 28 0%
#11029 pcal 28 0%
#11030 curseofwar 28 0%
#11031 draftbrew/tap/aider 28 0%
#11032 neved4/tap/alire 28 0%
#11033 dguest/biber/biber@2.17 28 0%
#11034 fibjs 28 0%
#11035 amalkh5/telsocket/telsocket 28 0%
#11036 cgvg 28 0%
#11037 eso/pipelines/esopipe-molecfit-recipes 28 0%
#11038 lockbook/lockbook/lockbook 28 0%
#11039 postgresql-latest 28 0%
#11040 ovrclk/tap/akash 28 0%
#11041 malleefoul/etc/gtkspellmm 28 0%
#11042 pegasus-isi/tools/htcondor@23 28 0%
#11043 alecthomas/tap/gometalinter 28 0%
#11044 fujiwara/tap/ecrm 28 0%
#11045 fornwall/tap/rust-gpu 28 0%
#11046 llvm@19 28 0%
#11047 shopify/shopify/openssl 28 0%
#11048 loteoo/formulas/ks 27 0%
#11049 shivammathur/extensions/protobuf@8.5 27 0%
#11050 bartekpacia/tools/fhomed 27 0%
#11051 ansatzx/mokit/mokit 27 0%
#11052 pgpbpadilla/pgpb/gnupg@2.2.41 27 0%
#11053 lou1306/formal/nusmv 27 0%
#11054 dbdeployer 27 0%
#11055 shivammathur/extensions/couchbase@8.4 27 0%
#11056 text-embeddings-inference 27 0%
#11057 kevemueller/ksysroot/ksysroot_aarch64-linux-gnu 27 0%
#11058 bayandin/tap/pgjwt 27 0%
#11059 cuber/libsecp256k1/libsecp256k1 27 0%
#11060 aconchillo/guile/chickadee 27 0%
#11061 digitalspacestdio/php/php56-tidy 27 0%
#11062 papucci/hep/libofx-custom 27 0%
#11063 morpheus-lab/morpheus-versions/morpheus-qt6 27 0%
#11064 vassh 27 0%
#11065 resonatehq/tap/resonate 27 0%
#11066 digitalspacestdio/php/php72-apcu 27 0%
#11067 puq 27 0%
#11068 timrogers/tap/litra-autotoggle 27 0%
#11069 style-check 27 0%
#11070 jlp04/homebrew/gfm 27 0%
#11071 canfigger 27 0%
#11072 marcransome/tap/flog 27 0%
#11073 eso/pipelines/adari 27 0%
#11074 datuet/dmg/mobile-generate 27 0%
#11075 burnt-labs/xion/xiond@11.0.1 27 0%
#11076 gosh-terminal/gosh/gosh 27 0%
#11077 cxwx/cxbrew/reflect-cpp 27 0%
#11078 homebrew/linux-fonts/font-noto-nerd-font 27 0%
#11079 ggerganov/ggerganov/waver 27 0%
#11080 c6o/tap/codezero-beta 27 0%
#11081 pothosware/pothos/soapyplutosdr 27 0%
#11082 dpic 27 0%
#11083 shivammathur/extensions/phalcon5@8.3 27 0%
#11084 brewsci/bio/cuemol2 27 0%
#11085 gromgit/fuse/avfs-mac 27 0%
#11086 hydrangea-screen-monitor 27 0%
#11087 tbox 27 0%
#11088 brewsci/bio/genesis 27 0%
#11089 tractor/tractor/tractor 27 0%
#11090 snyk/tap/snyk-iac-rules 27 0%
#11091 safeint 27 0%
#11092 oysttyer 27 0%
#11093 eclipse-zenoh/zenoh/zenohd 27 0%
#11094 cloudamqp/cloudamqp/amqpcat 27 0%
#11095 brewsci/bio/hmmer@2 27 0%
#11096 lavat 27 0%
#11097 leopard-gecko/game/jrogue 27 0%
#11098 gromgit/fuse/cryfs-mac 27 0%
#11099 treecc 27 0%
#11100 akamai/mfa/akr 27 0%
#11101 heavywatal/tap/igraph32 27 0%
#11102 pwalch/lonesnake/lonesnake 27 0%
#11103 meson-python 27 0%
#11104 ohcount 27 0%
#11105 datasance/potctl/potctl 27 0%
#11106 paldepind/tap/projectdo 27 0%
#11107 ampersandhq/php/amp-php@7.4-xdebug 27 0%
#11108 muesli/tap/duf 27 0%
#11109 gmorse81/boondoggle/boondoggle 27 0%
#11110 thethingsindustries/lorawan-stack/tti-lw-cli 27 0%
#11111 msakai/tap/printemps 27 0%
#11112 ejdb 27 0%
#11113 go-musicfox/go-musicfox/spotifox 27 0%
#11114 badoo/qa-bottles/fbsimctl 27 0%
#11115 zug 27 0%
#11116 raito-io/tap/cli 27 0%
#11117 wvlet/wvlet/wvlet 27 0%
#11118 sttr 27 0%
#11119 bgpkit/tap/bgpkit-parser 27 0%
#11120 goasitop 27 0%
#11121 jrmastermodelbuilder/megatools/megatools-experimental-extra 27 0%
#11122 bosun-ai/tap/kwaak 27 0%
#11123 digitalspacestdio/php/php72-tidy 27 0%
#11124 drin/hatchery/apache-arrow-substrait 27 0%
#11125 baselime/tap/baselime 27 0%
#11126 ipatch/us-05/hello_world_opengl 27 0%
#11127 thoughtbot/formulae/complexity 27 0%
#11128 nylon 27 0%
#11129 digitalspacestdio/php/php72-zip 27 0%
#11130 ski 27 0%
#11131 b43-fwcutter 27 0%
#11132 upvestco/httpsignature-proxy/httpsignature-proxy 27 0%
#11133 manelatun/bottles/libtool 27 0%
#11134 alexanderguy/tooling/opsh 27 0%
#11135 wait_on 27 0%
#11136 joelekstrom/repo/terminal-mines 27 0%
#11137 kublet/tools/krate 27 0%
#11138 ampersandhq/php/amp-php@7.2 27 0%
#11139 kiraum/tap/askjira 27 0%
#11140 osx-cross/arm/arm-gcc-bin@13 27 0%
#11141 morpho-lang/morpho/morpho-morphoview 27 0%
#11142 samtay/tui/snake 27 0%
#11143 digitalspacestdio/php/php71-opcache 27 0%
#11144 superbet-group/tap/happening-docker-credential-helper 27 0%
#11145 hazelcast/hz/hazelcast@5.5.0 27 0%
#11146 nethermindeth/sedge/sedge 26 0%
#11147 dart-lang/dart/dart@3.5.1 26 0%
#11148 octave-app/octave-app/octave@9.2.0 26 0%
#11149 digitalspacestdio/php/php84-intl 26 0%
#11150 digitalspacestdio/php/php84-amqp 26 0%
#11151 flexiblas-openblas 26 0%
#11152 chordpro 26 0%
#11153 manelatun/bottles/openssl@3 26 0%
#11154 lucapette/tap/fakedata 26 0%
#11155 wandelbotsgmbh/wandelbots/nova 26 0%
#11156 pikchr 26 0%
#11157 szampier/pipelines/hawki 26 0%
#11158 apptio/tap/kr8 26 0%
#11159 tta 26 0%
#11160 ucon64 26 0%
#11161 digitalspacestdio/php/php71-pdo-pgsql 26 0%
#11162 oor30/hashcp/hashcp 26 0%
#11163 digitalspacestdio/ngdev/digitalspace-openresty 26 0%
#11164 tivodecode 26 0%
#11165 bayandin/tap/pg_hashids 26 0%
#11166 bbilgin01/cs240ozu/riscv-isa-sim 26 0%
#11167 libcdio-paranoia 26 0%
#11168 homebrew/linux-fonts/font-proggy-clean-tt-nerd-font 26 0%
#11169 applovin/mobile-tools/aldroid 26 0%
#11170 sdl_ttf 26 0%
#11171 draxsoft/drax/drax 26 0%
#11172 charls 26 0%
#11173 spotinst/tap/spotctl 26 0%
#11174 microsoft/azdata-cli-release/azdata-cli 26 0%
#11175 kojix2/brew/gpscan 26 0%
#11176 brewsci/bio/antismash 26 0%
#11177 envv 26 0%
#11178 joshdk/tap/retry 26 0%
#11179 pinecone-io/tap/pinecone 26 0%
#11180 discoteq/discoteq/flock 26 0%
#11181 bedrock-viz/tap/bedrock-viz 26 0%
#11182 squashfuse 26 0%
#11183 chrpath 26 0%
#11184 pivotal/tap/git-pair 26 0%
#11185 trdsql 26 0%
#11186 cotila 26 0%
#11187 tiledb-inc/stable/tiledb 26 0%
#11188 nanovms/qemu/qemu 26 0%
#11189 grimme-lab/qc/dftd4 26 0%
#11190 sashkab/python/python@3.8 26 0%
#11191 iltommi/brews/smilei 26 0%
#11192 mongroup 26 0%
#11193 gurhub/surmagic/surmagic 26 0%
#11194 openjdk@22 26 0%
#11195 craigsiemens/tap/xcode-version-manager 26 0%
#11196 homebrew/linux-fonts/font-lekton-nerd-font 26 0%
#11197 pulumi/tap/tf2pulumi 26 0%
#11198 fex 26 0%
#11199 containerd 26 0%
#11200 zectonal/zectonal/zc 26 0%
#11201 riscv/riscv/riscv-isa-sim 26 0%
#11202 tlx 26 0%
#11203 rats 26 0%
#11204 rwx-research/tap/abq@1 26 0%
#11205 rwx-research/tap/captain@1 26 0%
#11206 g2 26 0%
#11207 php@7.3 26 0%
#11208 ramborogers/netventory/netventory 26 0%
#11209 szampier/pipelines/esoreflex 26 0%
#11210 osrf/simulation/boost@1.85.0 26 0%
#11211 apparix 26 0%
#11212 s2-streamstore/s2/s2 26 0%
#11213 keith/formulae/ld64.lld 26 0%
#11214 fragment-dev/tap/fragment-cli-beta 26 0%
#11215 cian911/gomerge/gomerge 26 0%
#11216 web3j/web3j/web3j 26 0%
#11217 digitalspacestdio/php/php84-igbinary 26 0%
#11218 hashicorp/tap/consul-terraform-sync 26 0%
#11219 ocmtoc 26 0%
#11220 signalwire/signalwire/sofia-sip 26 0%
#11221 shivammathur/extensions/snmp@8.1 26 0%
#11222 gridpack 26 0%
#11223 bees-hive/hive/pem 26 0%
#11224 maciejwalkowiak/brew/just 26 0%
#11225 entityx 26 0%
#11226 tideways/profiler/tideways-php@8.1 26 0%
#11227 s6n-jp/tap/ghr 26 0%
#11228 gromgit/fuse/securefs-mac 26 0%
#11229 masaushi/tap/ecsplorer 26 0%
#11230 shivammathur/extensions/pecl_http@7.2 26 0%
#11231 itome/tap/flx 26 0%
#11232 shivammathur/extensions/xlswriter@8.1 26 0%
#11233 shivammathur/extensions/snmp@7.0 26 0%
#11234 shivammathur/extensions/opentelemetry@8.4 26 0%
#11235 defenseunicorns/tap/maru 26 0%
#11236 shivammathur/extensions/gearman@7.4 26 0%
#11237 codeyarduk/mordecai/mordecai 26 0%
#11238 abinit/tap/netcdf-parallel 26 0%
#11239 digitalspacestdio/php/php71-ldap 26 0%
#11240 mittelmark/mittelmark/microemacs-mec 26 0%
#11241 dislocker 26 0%
#11242 raoulschaffner/tap/mtn 26 0%
#11243 masutaka/tap/github-nippou 26 0%
#11244 wtomasini/awctl/awctl 26 0%
#11245 ikawaha/kagome/kagome 26 0%
#11246 digitalspacestdio/php/php71-mongodb 26 0%
#11247 homebrew/linux-fonts/font-d2coding-nerd-font 26 0%
#11248 homebrew/linux-fonts/font-cousine-nerd-font 26 0%
#11249 idleberg/asahi/krampus 26 0%
#11250 clpbar 26 0%
#11251 mobile-dev-inc/tap/maestro@1.36 26 0%
#11252 szazeski/tap/checkssl 25 0%
#11253 gromgit/fuse/gcsfuse-mac 25 0%
#11254 brewsci/bio/ivar 25 0%
#11255 petere/postgresql/postgresql@9.4 25 0%
#11256 brewsci/bio/skesa 25 0%
#11257 danopia/tools/amber 25 0%
#11258 ragnarok22/getmyip/getmyip 25 0%
#11259 lou1306/formal/zchaff 25 0%
#11260 canary-technologies-corp/tap/gsts 25 0%
#11261 tfocus 25 0%
#11262 haukesomm/repo/apple-photos-export 25 0%
#11263 ros/deps/gtest 25 0%
#11264 ampersandhq/php/amp-php@7.2-libsodium 25 0%
#11265 xe 25 0%
#11266 valitydev/tap/vality-thrift 25 0%
#11267 kcl-lang/tap/kcl@0.9.0 25 0%
#11268 flawlesscasual17/wine/order66 25 0%
#11269 notnmeyer/tsk/tsk 25 0%
#11270 liquidaty/zsv/zsv 25 0%
#11271 libghthash 25 0%
#11272 cloud-native-toolkit/techzone/itz 25 0%
#11273 libobjc2 25 0%
#11274 dciabrin/ngdevkit/ngdevkit 25 0%
#11275 jmxtrans 25 0%
#11276 frain-dev/tools/convoy 25 0%
#11277 mps 25 0%
#11278 michael-simons/seabolt/seabolt 25 0%
#11279 digitalspacestdio/php/php71-zip 25 0%
#11280 kubeshop/kusk/kusk 25 0%
#11281 shivammathur/extensions/ssh2@8.1 25 0%
#11282 homebrew/linux-fonts/font-mononoki-nerd-font 25 0%
#11283 guile-fibers 25 0%
#11284 ampersandhq/php/amp-php@8.1-xdebug 25 0%
#11285 starkandwayne/cf/genesis 25 0%
#11286 gromgit/fuse/btfs-mac 25 0%
#11287 k0sproject/tap/bootloose 25 0%
#11288 mogenius/mocli/mocli 25 0%
#11289 shivammathur/extensions/ds@8.4 25 0%
#11290 rtrlib/pils/rtrlib 25 0%
#11291 brewsci/bio/ntsynt 25 0%
#11292 ampersandhq/php/amp-php@8.1-imagick 25 0%
#11293 digitalspacestdio/php/php72-sodium 25 0%
#11294 ampersandhq/php/amp-php@8.1-apcu 25 0%
#11295 sportngin/homebrew/openssl@1.0 25 0%
#11296 score-spec/tap/score-humanitec 25 0%
#11297 shivammathur/extensions/igbinary@7.2 25 0%
#11298 homebrew/linux-fonts/font-inconsolata-lgc-nerd-font 25 0%
#11299 gembaadvantage/tap/git-remote-codecommit 25 0%
#11300 ampersandhq/php/amp-php@7.2-apcu 25 0%
#11301 nodenv/nodenv/nodenv-package-json-engine 25 0%
#11302 ampersandhq/php/amp-php@7.4-libsodium 25 0%
#11303 ampersandhq/php/amp-php@7.4-imagick 25 0%
#11304 plod 25 0%
#11305 macaulay2/tap/fflas-ffpack 25 0%
#11306 cartman-kai/thrift/thrift@0.12 25 0%
#11307 openresty/brew/geoip2-nginx-module 25 0%
#11308 brewsci/bio/rmblast 25 0%
#11309 pothosware/pothos/pothosaudio 25 0%
#11310 scale2x 25 0%
#11311 digitalspacestdio/php/php84-apcu 25 0%
#11312 pothosware/pothos/pothoswidgets 25 0%
#11313 yogeshsr/tap/kafka-protobuf-console-consumer 25 0%
#11314 homebrew/linux-fonts/font-cascadia-code-nf 25 0%
#11315 camfort/camfort/camfort 25 0%
#11316 davidchall/hep/herwig 25 0%
#11317 digitalspacestdio/php/php84-xdebug 25 0%
#11318 dromeaudio 25 0%
#11319 abinit/tap/wannier90 25 0%
#11320 loopwork-ai/tap/emcee 25 0%
#11321 freetonik/tap/textpod 25 0%
#11322 ampersandhq/php/yarn@1.22.5 25 0%
#11323 memcacheq 25 0%
#11324 shurco/tap/goclone 25 0%
#11325 digitalspacestdio/php/php84-ldap 25 0%
#11326 pipebench 25 0%
#11327 gucchisk/tap/anaguma 25 0%
#11328 sschlesier/csvutils/csvquote 25 0%
#11329 box/mojito/mojito-cli 25 0%
#11330 digitalspacestdio/php/php84-zip 25 0%
#11331 shivammathur/extensions/imagick@5.6 25 0%
#11332 cduggn/cduggn/ccexplorer 25 0%
#11333 bayandin/tap/rum 25 0%
#11334 digitalspacestdio/php/php84-gmp 25 0%
#11335 woshiccm/tap/pecker 25 0%
#11336 npiv/chatblade/chatblade 25 0%
#11337 shivammathur/extensions/redis@7.0 25 0%
#11338 homebrew/linux-fonts/font-arimo-nerd-font 25 0%
#11339 regen100/lfs-dal/lfs-dal 25 0%
#11340 eso/pipelines/esopipe-iiinstrument-recipes 25 0%
#11341 texi2mdoc 25 0%
#11342 homebrew/linux-fonts/font-anonymice-nerd-font 25 0%
#11343 mdgrey33/pyvisionai/pyvisionai 25 0%
#11344 oven-sh/bun/bun@1.0.0 25 0%
#11345 dylan-lang/dylan/opendylan 25 0%
#11346 openslo/openslo/oslo 25 0%
#11347 brewsci/bio/any2fasta 25 0%
#11348 homebrew/linux-fonts/font-hurmit-nerd-font 25 0%
#11349 nicerloop/nicerloop/fb-idb 25 0%
#11350 homebrew/linux-fonts/font-inconsolata-go-nerd-font 25 0%
#11351 tuist/tuist/tuist@3.42.0 25 0%
#11352 darkbrow/repo/cowsay 25 0%
#11353 sherylynn/emacsx11/emacsx11 25 0%
#11354 pit 25 0%
#11355 ottomatic-io/video/untrunc 25 0%
#11356 gm5dna/amateur-radio/qttermtcp 25 0%
#11357 lpc21isp 25 0%
#11358 tuist/tuist/tuist@4.44.1 25 0%
#11359 ldpl 24 0%
#11360 gromgit/fuse/archivemount-mac 24 0%
#11361 open-sauced/tap/pizza 24 0%
#11362 zendesk/devops/zetup 24 0%
#11363 tueda/loops/lanhep 24 0%
#11364 dxflib 24 0%
#11365 10xlabs/kocli/kocli 24 0%
#11366 cockroachdb/tap/cockroach@23.2 24 0%
#11367 box/mojito/mojito-webapp 24 0%
#11368 jhandguy/tap/aws-mfa 24 0%
#11369 amvera-cloud/brew/amvera 24 0%
#11370 ivanilves/tap/travelgrunt 24 0%
#11371 pingidentity/tap/pingcli 24 0%
#11372 qt-base 24 0%
#11373 cmlccie/tap/awsipranges 24 0%
#11374 digitalspacestdio/php/php84-tidy 24 0%
#11375 anirudhg07/anirudhg07/cheatshh 24 0%
#11376 digitalspacestdio/php/php84-mongodb 24 0%
#11377 flowerinthenight/tap/g-ssh-cmd 24 0%
#11378 juise 24 0%
#11379 couchbaselabs/couchbase/couchbase-cxx-client 24 0%
#11380 siafoundation/sia/renterd 24 0%
#11381 sidaf/pentest/polenum 24 0%
#11382 homebrew/linux-fonts/font-shure-tech-mono-nerd-font 24 0%
#11383 maliceio/tap/malice 24 0%
#11384 yefimchukv/mergen/mergen 24 0%
#11385 ampersandhq/php/amp-php@7.2-xdebug 24 0%
#11386 purplebooth/repo/git-moves-together 24 0%
#11387 pytouhou 24 0%
#11388 realalexandreai/tap-jsonrepair/jsonrepair 24 0%
#11389 helpshift/dependencies/podman 24 0%
#11390 dart-lang/dart/dart@3.6.1 24 0%
#11391 juullabs-oss/mynewt/mynewt-newt 24 0%
#11392 felangga/chiko/chiko 24 0%
#11393 laniksj/tap/lsusb-plus 24 0%
#11394 libbitcoin-consensus 24 0%
#11395 jhiccup 24 0%
#11396 idnits 24 0%
#11397 badouralix/tap/foundationdb-headers@6.2.30 24 0%
#11398 hypershell/tap/hypershell 24 0%
#11399 fetchai/avctl/avctl 24 0%
#11400 dstep 24 0%
#11401 homebrew/linux-fonts/font-overpass-nerd-font 24 0%
#11402 devshawn/kafka-gitops/kafka-gitops 24 0%
#11403 kommit 24 0%
#11404 mac-robber 24 0%
#11405 blightmud/blightmud/blightmud 24 0%
#11406 lgarron/lgarron/tagpush 24 0%
#11407 brewsci/bio/trnascan 24 0%
#11408 bortoz/bortoz/task-maker-rust 24 0%
#11409 brewsci/bio/artemis 24 0%
#11410 veracode/tap/gen-ir@0.4.3 24 0%
#11411 nodenv/nodenv/nodenv-aliases 24 0%
#11412 tueda/loops/mma-feynrules 24 0%
#11413 smlpkg 24 0%
#11414 socialfinance/sofi/docker-forward 24 0%
#11415 digzyme/third-party-tools/plmc 24 0%
#11416 matsuyoshi30/gitsu/gitsu 24 0%
#11417 eso/pipelines/esopipe-molecfit 24 0%
#11418 digzyme/third-party-tools/psipred 24 0%
#11419 gaffitter 24 0%
#11420 digitalspacestdio/php/php84-rdkafka 24 0%
#11421 pilat/devbox/devbox 24 0%
#11422 mpfrcx 24 0%
#11423 timo-reymann/deterministic-zip/deterministic-zip 24 0%
#11424 blst 24 0%
#11425 fane1824/tap-nightly/lttoolbox 24 0%
#11426 zhongruoyu/portable-ruby-aarch64-linux/portable-ruby 24 0%
#11427 astarte-platform/astarte/astartectl 24 0%
#11428 libowfat 24 0%
#11429 ctemplate 24 0%
#11430 sptim/formulae/pdfbooklet 24 0%
#11431 brad-x/custom/qemu 24 0%
#11432 scm-manager/tap/scm-server 24 0%
#11433 shivammathur/extensions/sqlsrv@7.4 24 0%
#11434 garnajee/perso/nm3u8dl-re 24 0%
#11435 digitalspacestdio/common/curl@8 24 0%
#11436 coatl-dev/coatl-dev/ignition 24 0%
#11437 tendermint/tap/starport 24 0%
#11438 brewsci/bio/krona 24 0%
#11439 rakutentech/tap/jwkgen 24 0%
#11440 digitalspacestdio/php/php71-tidy 24 0%
#11441 libscfg 24 0%
#11442 digitalspacestdio/nextgen-devenv/digitalspace-nginx 24 0%
#11443 heaths/tap/akv 24 0%
#11444 defenseunicorns/tap/lula 24 0%
#11445 padok-team/tap/baywatch 24 0%
#11446 hcavarsan/kftray/kftray-test 24 0%
#11447 yder 24 0%
#11448 magic 24 0%
#11449 libgoa 24 0%
#11450 jozefcipa/novus/novus 24 0%
#11451 darklua 24 0%
#11452 glkterm 24 0%
#11453 eso/pipelines/esopipe-esotk-recipes 24 0%
#11454 digitalspacestdio/ngdev/digitalspace-ngx-devel-kit 24 0%
#11455 cqlabs/dcm/dcm@1.15.0 24 0%
#11456 shivammathur/extensions/pdo_sqlsrv@7.4 24 0%
#11457 le 24 0%
#11458 bayandin/tap/curl-without-ipv6 24 0%
#11459 nodenv/nodenv/nodenv-default-packages 24 0%
#11460 ozeias/postgresql/postgresql@15 24 0%
#11461 shivammathur/extensions/mailparse@8.1 24 0%
#11462 avpres/formulae/bash-avpres 24 0%
#11463 libxmi 24 0%
#11464 havener 24 0%
#11465 sev-2/raiden/raiden 24 0%
#11466 ampersandhq/php/amp-php@7.4-apcu 24 0%
#11467 mat2cc/tap/redis_tui 24 0%
#11468 span-lite 24 0%
#11469 iproute4mac 24 0%
#11470 homebrew/linux-fonts/font-aurulent-sans-mono-nerd-font 24 0%
#11471 ampersandhq/php/amp-php@8.2-xdebug 24 0%
#11472 yuzawa-san/tap/wawona 24 0%
#11473 avencera/taps/rustywind 24 0%
#11474 octant 24 0%
#11475 davrodpin/mole/mole 23 0%
#11476 dojofive/dojofive/protobuf@3.19 23 0%
#11477 dmagnetic 23 0%
#11478 shivammathur/extensions/memcache@7.4 23 0%
#11479 pakku 23 0%
#11480 scripthaus-dev/scripthaus/scripthaus 23 0%
#11481 eso/pipelines/esopipe-gravity-recipes 23 0%
#11482 ampersandhq/php/amp-php@8.0 23 0%
#11483 algolia 23 0%
#11484 guilhermeprokisch/see/see 23 0%
#11485 pyamsoft-settings 23 0%
#11486 stanyzra/env-manager-v2/homebrew-env-manager-v2 23 0%
#11487 shivammathur/extensions/uuid@8.4 23 0%
#11488 dvanalyzer 23 0%
#11489 shivammathur/extensions/memcache@8.2 23 0%
#11490 imhotepio/k9salpha/k9salpha 23 0%
#11491 ampersandhq/php/amp-php@8.3 23 0%
#11492 gianni-rosato/svt-av1-psy/svt-av1-psy 23 0%
#11493 andresv/gnuradio/gr-osmosdr 23 0%
#11494 sy1vain/ffmpeg/ffmpeg@3.4 23 0%
#11495 lefou/millw/millw 23 0%
#11496 daviderestivo/emacs-head/emacs-head@28 23 0%
#11497 cfnctl 23 0%
#11498 brewsci/science/calculix-ccx 23 0%
#11499 olets/tap/zsh-abbr@6 23 0%
#11500 oven-sh/bun/bun@1.1.45 23 0%
#11501 tml 23 0%
#11502 tgotwig/vidmerger/vidmerger 23 0%
#11503 shivammathur/extensions/apcu@7.1 23 0%
#11504 moonfruit/tap/openssl@1.0 23 0%
#11505 xoofx/kalk/kalk 23 0%
#11506 brewsci/bio/parsnp 23 0%
#11507 shivammathur/extensions/apcu@7.0 23 0%
#11508 digitalspacestdio/php/php84-sodium 23 0%
#11509 eso/pipelines/esopipe-harps-recipes 23 0%
#11510 dart-lang/dart/dart@2.1 23 0%
#11511 lifepillar/appleii/applecommander 23 0%
#11512 zerotier/tap/zeronsd 23 0%
#11513 homebrew/portable-ruby/portable-ncurses 23 0%
#11514 gromgit/fuse/s3ql-mac 23 0%
#11515 cartesi/tap/cartesi-machine-emulator 23 0%
#11516 catppuccin/tap/mdbook-catppuccin 23 0%
#11517 patthemav/custom/gersemi 23 0%
#11518 excloud-in/excloud/exc 23 0%
#11519 digitalservicebund/tap/dsctl 23 0%
#11520 malleefoul/etc/gimagereader 23 0%
#11521 trec_eval 23 0%
#11522 homebrew/linux-fonts/font-tinos-nerd-font 23 0%
#11523 p3l6/tap/bag-notation 23 0%
#11524 homebrew/linux-fonts/font-blex-mono-nerd-font 23 0%
#11525 cqlabs/dcm/dcm@1.21.0 23 0%
#11526 ittybittyapps/appstoreconnect-cli/appstoreconnect-cli 23 0%
#11527 ryu0118/dgraph/dgraph 23 0%
#11528 tembo-io/tembo/tembo-cli 23 0%
#11529 digitalspacestdio/php/php84-opcache 23 0%
#11530 morpho-lang/morpho/morpho-morphopm 23 0%
#11531 knative-extensions/kn-plugins/admin 23 0%
#11532 kwabenantim/chaste/netcdf 23 0%
#11533 jameswoolfenden/tap/ghat 23 0%
#11534 hougesen/tap/mdsf 23 0%
#11535 franpfeiffer/t2/t2 23 0%
#11536 robotlocomotion/director/vtk@9.1.0 23 0%
#11537 dlemper/forensictools/xmount 23 0%
#11538 grimme-lab/qc/multicharge 23 0%
#11539 ggoraa/apps/gyb 23 0%
#11540 agordon/gordon/librelp 23 0%
#11541 homebrew/linux-fonts/font-lilex-nerd-font 23 0%
#11542 log4c 23 0%
#11543 jackmordaunt/tap/icnsify 23 0%
#11544 picoc 23 0%
#11545 minijinja 23 0%
#11546 starkwm/formulae/skbd 23 0%
#11547 k-yomo/pubsub_cli/pubsub_cli 23 0%
#11548 carlocab/personal/flang 23 0%
#11549 redpeacock78/tools/sayaka 23 0%
#11550 zendesk/devops/scooter 23 0%
#11551 kryptokoder/mypdfsigner/mypdfsigner 23 0%
#11552 lukechilds/tap/gifgen 23 0%
#11553 canhth/acextract/acextract 23 0%
#11554 dwijnand/extras/sbtx 23 0%
#11555 homebrew/linux-fonts/font-im-writing-nerd-font 23 0%
#11556 moonfruit/tap/oracle-instantclient 23 0%
#11557 blackfireio/blackfire/blackfire-php73 23 0%
#11558 ccal 23 0%
#11559 optimizers/cutest/archdefs 23 0%
#11560 cloudblue/connect/connect-cli 23 0%
#11561 blendfactory/tap/dvm 23 0%
#11562 beleap/tap/kubectl-check 23 0%
#11563 adrianliechti/tap/loop 23 0%
#11564 sighupio/furyctl/furyctl 23 0%
#11565 mirantis/tap/mkectl@4.0.0 23 0%
#11566 tal 23 0%
#11567 gbeine/java/mysql-connector-java 23 0%
#11568 gmerlino/yad/yad 23 0%
#11569 nike-inc/nike/cerberus-cli 23 0%
#11570 fr1tzbot/homebrew/doas 23 0%
#11571 weighttp 23 0%
#11572 codacy/codacy-cli-v2/codacy-cli-v2 23 0%
#11573 opslevel/tap/kubectl 23 0%
#11574 uffizzicloud/tap/uffizzi 23 0%
#11575 shivammathur/extensions/sqlsrv@8.2 23 0%
#11576 gusto/gusto/pdftk 23 0%
#11577 python@3.6 23 0%
#11578 keith/formulae/zap 23 0%
#11579 shivammathur/extensions/gnupg@8.3 23 0%
#11580 eng618/eng/eng 23 0%
#11581 khell/srm/srm 23 0%
#11582 donphi/longchop/longchop 23 0%
#11583 xe/pkgs/templ 23 0%
#11584 patrickdappollonio/tap/kubectl-slice 23 0%
#11585 claceio/clace/clace 23 0%
#11586 nikaro/tap/zabbixmon 23 0%
#11587 mrtazz/oss/snipple 23 0%
#11588 shivammathur/extensions/newrelic@8.1 23 0%
#11589 staq 23 0%
#11590 ludwig/neverland/pan-utils 23 0%
#11591 digitalspacestdio/php/php56-amqp 23 0%
#11592 tgragnato/tap/super-sayan 23 0%
#11593 pdnsd 23 0%
#11594 git-credential-1password 23 0%
#11595 z80oolong/appimage/appimage-runtime 23 0%
#11596 z80oolong/tmux/tmux-head 23 0%
#11597 shivammathur/extensions/uuid@8.2 23 0%
#11598 ozeias/postgresql/postgresql@16 23 0%
#11599 snapsheet/core/tinker 23 0%
#11600 yamdi 23 0%
#11601 aerugo/prelude/prelude 23 0%
#11602 mdp/tap/qrterminal 23 0%
#11603 gusto/gusto/gusto-lima 23 0%
#11604 wl0ckk/simple-viewer-gl/simple-viewer-gl 23 0%
#11605 tgragnato/tap/crypto++ 23 0%
#11606 manelatun/bottles/pkg-config 23 0%
#11607 buddy/bdy/bdy-dev 23 0%
#11608 shivammathur/extensions/redis@8.5 23 0%
#11609 juicedata/tap/juicefs 23 0%
#11610 openproject-cli 22 0%
#11611 digitalspacestdio/ngdev/digitalspace-nginx-lua-module 22 0%
#11612 hiredict 22 0%
#11613 chenrui333/tap/oxen 22 0%
#11614 cqlabs/dcm/dcm@1.22.0 22 0%
#11615 stek29/idevice/img4tool 22 0%
#11616 gympass/tools/aws-vault-scg 22 0%
#11617 beleap/tap/teleproxy 22 0%
#11618 anakros/tsocks/tsocks 22 0%
#11619 wistmanswood/netatalk-dbus/dbus 22 0%
#11620 twam/openfpga/icestorm 22 0%
#11621 ndisc6 22 0%
#11622 gemma.cpp 22 0%
#11623 kbld 22 0%
#11624 xhc2333/tap/gfsvn 22 0%
#11625 jakob-bagterp/colorist/colorist 22 0%
#11626 dirk/quickhook/quickhook 22 0%
#11627 audiofile 22 0%
#11628 osrf/simulation/ignition-fuel-tools1 22 0%
#11629 libfastjson 22 0%
#11630 meltwater/fks/kubectl 22 0%
#11631 pyama86/kagiana/kagiana 22 0%
#11632 pokt-network/poktroll/poktrolld 22 0%
#11633 digitalspacestdio/ngdev/digitalspace-openresty-openssl111 22 0%
#11634 backbase/m/variants@1.1.3 22 0%
#11635 arcadiapower/tap/axolotl 22 0%
#11636 freshworks-developers/tap/fdk@9.1.1-beta 22 0%
#11637 ssfa/brew/setup-mac 22 0%
#11638 homebrew/linux-fonts/font-cascadia-mono-nf 22 0%
#11639 pierluigizagaria/makensis/makensis@3.10 22 0%
#11640 archway-network/tap/archway 22 0%
#11641 physunits 22 0%
#11642 isometry/tap/ghup 22 0%
#11643 hashmapsdata2value/tap/algorand 22 0%
#11644 digitalspacestdio/ngdev/digitalspace-mysql@8.4 22 0%
#11645 nginxinc/tap/nginx-prometheus-exporter 22 0%
#11646 beamlit/beamlit/beamlit 22 0%
#11647 osrf/simulation/simbody 22 0%
#11648 never 22 0%
#11649 idleberg/asahi/dropboxignore 22 0%
#11650 blutui/courier/courier 22 0%
#11651 shivammathur/extensions/rdkafka@8.0 22 0%
#11652 narashin/snippy/snippy 22 0%
#11653 crypto-org-chain/chain-maind/chain-maind 22 0%
#11654 signal-09/tap/iproute4mac 22 0%
#11655 itchyny/tap/base58 22 0%
#11656 codemeall/envcli/envcli 22 0%
#11657 shivammathur/extensions/phalcon5@8.2 22 0%
#11658 d-led/d-led/pathdebug 22 0%
#11659 morooi/xray/xray 22 0%
#11660 shipyard/tap/shipyard 22 0%
#11661 siafoundation/sia/hostd 22 0%
#11662 abnfgen 22 0%
#11663 zmwangx/npm-noob/noob 22 0%
#11664 curl-rustls 22 0%
#11665 brewforge/chinese/nezha-agent 22 0%
#11666 glulxe 22 0%
#11667 conductorone/baton/baton-github 22 0%
#11668 obot-platform/tap/obot 22 0%
#11669 stanyzra/aws-env-manager/env_manager 22 0%
#11670 libheinz 22 0%
#11671 eso/pipelines/esopipe-kmos-recipes 22 0%
#11672 restream/reindexer/reindexer 22 0%
#11673 jameswoolfenden/tap/sato 22 0%
#11674 kevemueller/ksysroot/ksysroot_aarch64-freebsd 22 0%
#11675 interline-io/transitland-lib/transitland-lib 22 0%
#11676 spotify/public/ratatool 22 0%
#11677 cgascoig/isctl/isctl 22 0%
#11678 mister-walter/acl2s/acl2s 22 0%
#11679 dart-lang/dart/dart@3.6.2 22 0%
#11680 python-debug@3.12 22 0%
#11681 salilab/salilab/libtau 22 0%
#11682 atomvm/atomvm/atomvm 22 0%
#11683 agentuity/tap/agentuity 22 0%
#11684 fullscript/tools/devspace 22 0%
#11685 kattouf/progressline/progressline 22 0%
#11686 shivammathur/extensions/mcrypt@8.0 22 0%
#11687 jaredwideman/virt-manager/virt-viewer 22 0%
#11688 corvuszero/openssl-apple-m1_1_0_2/openssl@1.0.2t 22 0%
#11689 loveholidays/tap/lvh 22 0%
#11690 brewsci/bio/circlator 22 0%
#11691 dockergiant/roll/roll 22 0%
#11692 cavaliercoder/dmidecode/dmidecode 22 0%
#11693 brewsci/bio/aragorn 22 0%
#11694 jasonmadigan/tap/podman-mac-net-connect 22 0%
#11695 splashkit 22 0%
#11696 demeter-run/tap/dmtrctl 22 0%
#11697 shivammathur/extensions/xlswriter@7.2 22 0%
#11698 shivammathur/extensions/pecl_http@7.1 22 0%
#11699 shivammathur/extensions/grpc@8.5 22 0%
#11700 simnalamburt/x/jaso 22 0%
#11701 busser/tap/tftree 22 0%
#11702 skynet-core/skynet/adaptive-cpp@24.10 22 0%
#11703 flomesh-io/ztm/ztm 22 0%
#11704 kwabenantim/chaste/petsc 22 0%
#11705 thethingsnetwork/lorawan-stack/ttn-lw-stack 22 0%
#11706 sheurich/tap/boulder 22 0%
#11707 eso/pipelines/esopipe-spher-recipes 22 0%
#11708 sparkworx/stuff/instantclient-sqlplus@23 22 0%
#11709 streamvbyte 22 0%
#11710 git-multipush 22 0%
#11711 vale21/mac-mulator/qemu 22 0%
#11712 bazelbuild/tap/bazel@6.4.0 22 0%
#11713 louis-jan/core/cortexso 22 0%
#11714 josh-tilles/formulae/yamlscript 22 0%
#11715 hounerx/repo/repeatprof 22 0%
#11716 nao1215/tap/jose 22 0%
#11717 reitermarkus/tap/pam-touch-id 22 0%
#11718 wndhydrnt/tap/saturn-bot 22 0%
#11719 beyond-curl 22 0%
#11720 osrf/simulation/ignition-math4 22 0%
#11721 eso/pipelines/esopipe-xshoo-recipes 22 0%
#11722 homebrew/linux-fonts/font-heavy-data-nerd-font 22 0%
#11723 keith/formulae/dylibtree 22 0%
#11724 chiissu/macchiato/discordo 22 0%
#11725 kejadlen/git-together/git-together 22 0%
#11726 zectonal/zectonal/zect 22 0%
#11727 http4k/tap/http4k 22 0%
#11728 jmalloc/grit/grit 22 0%
#11729 digitalspacestdio/php/php84-msmtp 22 0%
#11730 homebrew/linux-fonts/font-commit-mono-nerd-font 22 0%
#11731 hesiod 22 0%
#11732 homebrew/linux-fonts/font-3270 22 0%
#11733 nitishm/engarde/engarde 21 0%
#11734 masaccio/numbers-parser/numbers-parser 21 0%
#11735 txpipe/tap/dolos 21 0%
#11736 douglasmakey/tap/shelldon 21 0%
#11737 fmdiff 21 0%
#11738 pamburus/bin/hl 21 0%
#11739 rylan12/personal/act 21 0%
#11740 foo 21 0%
#11741 samurai 21 0%
#11742 kevemueller/ksysroot/ksysroot_powerpc64le-linux-gnu 21 0%
#11743 sphinx 21 0%
#11744 cardanosolutions/formulas/ogmios 21 0%
#11745 macaulay2/tap/fourtitwo 21 0%
#11746 fairwindsops/tap/insights 21 0%
#11747 shivammathur/extensions/pdo_sqlsrv@8.4 21 0%
#11748 slalombuild/secureli/secureli 21 0%
#11749 bazelbuild/tap/bazel@6.3.2 21 0%
#11750 digzyme/third-party-tools/sswlib 21 0%
#11751 sic 21 0%
#11752 pothosware/pothos/soapyremote 21 0%
#11753 git-url-sub 21 0%
#11754 wordplay 21 0%
#11755 pypy3.11 21 0%
#11756 szampier/pipelines/iiinstrument 21 0%
#11757 mrklein/foam/scotch 21 0%
#11758 theory/sqitch/sqitch 21 0%
#11759 domoritz/tap/csv2arrow 21 0%
#11760 kong/kongctl/kongctl 21 0%
#11761 wpebackend-fdo 21 0%
#11762 dlr-ts/sumo/sumo@1.9.0 21 0%
#11763 kanif 21 0%
#11764 llvm@9 21 0%
#11765 graelo/tap/huggingface-cli-full 21 0%
#11766 interstateone/formulae/dump-cookies 21 0%
#11767 raffis/gitops-zombies/gitops-zombies 21 0%
#11768 mpage 21 0%
#11769 digitalspacestdio/php/php71-amqp 21 0%
#11770 marcinhlybin/tap/docker-env 21 0%
#11771 pomdtr/tap/ray 21 0%
#11772 dholm/sdr/gr-fosphor 21 0%
#11773 homebrew/linux-fonts/font-comic-shanns-mono-nerd-font 21 0%
#11774 datasqrl/sqrl/sqrl-cli 21 0%
#11775 kitlangton/tap/scala-update 21 0%
#11776 microcom 21 0%
#11777 gchiesa/ska/ska 21 0%
#11778 oliverteo288/infra/infra 21 0%
#11779 niluje/kindletool/nettle-git 21 0%
#11780 moonfruit/tap/uutils-selected 21 0%
#11781 kojix2/brew/lolcat 21 0%
#11782 easytocloud/tap/aws-utils 21 0%
#11783 dimitre/ofbuild/ofbuild 21 0%
#11784 rockymadden/rockymadden/somafm-cli 21 0%
#11785 ltl2ba 21 0%
#11786 rusty-ferris-club/tap/shellclear 21 0%
#11787 pdf2djvu 21 0%
#11788 jlp04/homebrew/libticalcs 21 0%
#11789 dreal/dreal/dreal 21 0%
#11790 cheops 21 0%
#11791 dgfl-gh/taps/biber@2.17 21 0%
#11792 tarantool/tap/tarantool@2.8 21 0%
#11793 babeld 21 0%
#11794 crcany 21 0%
#11795 threatcl/repo/threatcl 21 0%
#11796 digitalspacestdio/ngdev/digitalspace-mysql84 21 0%
#11797 edmocosta/tap/tuistash 21 0%
#11798 sorairolake/tap/favico 21 0%
#11799 brewsci/bio/delly 21 0%
#11800 dart-lang/dart/dart@2.13 21 0%
#11801 lambdaclass/cairo-lang/cairo-lang@2.2.0 21 0%
#11802 hzhangolemiss/taps/lammps-stable 21 0%
#11803 alanvardy/tod/tod 21 0%
#11804 atompaw/repo/atompaw 21 0%
#11805 adapterremoval 21 0%
#11806 miniflux 21 0%
#11807 bazelbuild/tap/bazel@5.4.0 21 0%
#11808 shivammathur/extensions/pecl_http@5.6 21 0%
#11809 takesako/mac/man-japanese 21 0%
#11810 rootlyhq/tap/terraformer-rootly 21 0%
#11811 mopidy/mopidy/mopidy-mpd 21 0%
#11812 xafloc/noteflow/noteflow 21 0%
#11813 reclaim-the-stack/tap/k 21 0%
#11814 rattatap/tap/fake-python 21 0%
#11815 libcotp 21 0%
#11816 doit 21 0%
#11817 z80oolong/tmux/got-src 21 0%
#11818 mamrezb/jenkinsmaster-cli/jenkinsmaster 21 0%
#11819 kubeshop/fuse-releases/fuse 21 0%
#11820 brewsci/bio/clipandmerge 21 0%
#11821 eso/pipelines/esopipe-espda-recipes 21 0%
#11822 camellia 21 0%
#11823 warrensbox/tap/hubapp 21 0%
#11824 hashicorp/tap/boundary-enterprise 21 0%
#11825 bmv2 21 0%
#11826 seed7 21 0%
#11827 shivammathur/extensions/newrelic@7.4 21 0%
#11828 pi 21 0%
#11829 skyman/gt/nin10kit 21 0%
#11830 aux4/tap/aux4 21 0%
#11831 go-go-golems/go-go-go/pinocchio 21 0%
#11832 homebrew/linux-fonts/font-zed-mono-nerd-font 21 0%
#11833 taglib@1 21 0%
#11834 ryancopley/tap/colima-ui 21 0%
#11835 cargo-spellcheck 21 0%
#11836 boundaryml/baml/baml 21 0%
#11837 stacc/tap/stacc-next 21 0%
#11838 markus-wa/brew/cq 21 0%
#11839 anzx/deliveryeng/xtest 21 0%
#11840 xitonix/trubka/trubka 21 0%
#11841 na-trium-144/webcface/crow-unix-socket 21 0%
#11842 shivammathur/extensions/yaml@7.2 21 0%
#11843 metalsoft-io/repo/metalcloud-cli 21 0%
#11844 shivammathur/extensions/memcached@7.2 21 0%
#11845 kevemueller/ksysroot/ksysroot_aarch64-linux6.12-gnu@13-debian 21 0%
#11846 digitalspacestdio/php/php72-amqp 21 0%
#11847 shivammathur/extensions/expect@5.6 21 0%
#11848 m2700/tap/jekyll 21 0%
#11849 openunison/ouctl/ouctl 21 0%
#11850 shivammathur/extensions/vips@8.4 21 0%
#11851 qvd-client 20 0%
#11852 disneystreaming/tap/ssm-helpers 20 0%
#11853 prbinu/touch2sudo/touch2sudo 20 0%
#11854 dart-lang/dart/dart@2.7 20 0%
#11855 abi-dumper 20 0%
#11856 pothosware/pothos/soapyrtlsdr 20 0%
#11857 abhinav/tap/stitchmd 20 0%
#11858 sorairolake/tap/abcrypt-cli 20 0%
#11859 ttmath 20 0%
#11860 cestef/tap/rwalk 20 0%
#11861 yschimke/tap/rsocket-cli 20 0%
#11862 takt 20 0%
#11863 rosco-m68k/toolchain/gcc-cross-m68k@11 20 0%
#11864 cunytv/imm/imm 20 0%
#11865 hahwul/noir/noir 20 0%
#11866 digitalspacestdio/common/icu4c@69.1 20 0%
#11867 brewsci/bio/eastr 20 0%
#11868 brewsci/bio/trf 20 0%
#11869 scnt-exs 20 0%
#11870 nobu-g/tap/stderred 20 0%
#11871 taclane/sdr/trunk-recorder 20 0%
#11872 bbkane/tap/toddlerevents 20 0%
#11873 fortran-stdlib 20 0%
#11874 async_simple 20 0%
#11875 gut 20 0%
#11876 ihsanturk/packages/mcal 20 0%
#11877 fortio/tap/multicurl 20 0%
#11878 fortio/tap/delta 20 0%
#11879 ufraw 20 0%
#11880 libbinio 20 0%
#11881 digzyme/third-party-tools/rdptools 20 0%
#11882 novacli 20 0%
#11883 udondan/software/cfn-teleport 20 0%
#11884 songmu/tap/blogsync 20 0%
#11885 sorairolake/tap/glzip 20 0%
#11886 evanwieland/brute/brute 20 0%
#11887 freecad/freecad/shiboken2@5.15.11 20 0%
#11888 llhttp 20 0%
#11889 shivammathur/extensions/yaml@8.0 20 0%
#11890 chenrui333/tap/mdsf 20 0%
#11891 justincbagley/tap/piranha 20 0%
#11892 cherrybomb 20 0%
#11893 copacetic 20 0%
#11894 synscan 20 0%
#11895 eso/pipelines/esopipe-eris 20 0%
#11896 dipjyotimetia/friday/friday 20 0%
#11897 pokt-network/pocket-core/pocket 20 0%
#11898 kevemueller/ksysroot/ksysroot_i386-linux-gnu 20 0%
#11899 shivammathur/extensions/event@7.4 20 0%
#11900 koya1616/transporter-cli/transporter-cli 20 0%
#11901 cerisola/core/vale 20 0%
#11902 vrypan/fargo/fargo 20 0%
#11903 brewsci/bio/libzeep 20 0%
#11904 homebrew/linux-fonts/font-iosevka-term-slab-nerd-font 20 0%
#11905 shivammathur/extensions/memcache@8.4 20 0%
#11906 tinyprocessing/tools/relish 20 0%
#11907 erlang@22 20 0%
#11908 driftive/tap/driftive 20 0%
#11909 taylormonacelli/tools/myville 20 0%
#11910 shivammathur/extensions/swoole@7.3 20 0%
#11911 tuist/tuist/tuist@4.21.0 20 0%
#11912 artsy/formulas/elasticsearch-full@7.17 20 0%
#11913 spectralops/tap/spectral 20 0%
#11914 jetstack/jetstack/paranoia 20 0%
#11915 shivammathur/extensions/pcov@8.0 20 0%
#11916 neved4/tap/volatility 20 0%
#11917 chrokh/tap/base16-manager 20 0%
#11918 sjzar/tap/ips 20 0%
#11919 theykk/tap/git-switcher 20 0%
#11920 shivammathur/extensions/xlswriter@8.4 20 0%
#11921 cblecker/tap/ocm-backplane 20 0%
#11922 zhongruoyu/portable-ruby-aarch64-linux/portable-ncurses 20 0%
#11923 vacxe/tap/googleplaycli 20 0%
#11924 outscale/tap/oapi-cli 20 0%
#11925 sorairolake/tap/qrtool 20 0%
#11926 shivammathur/extensions/swoole@7.2 20 0%
#11927 awvwgk/fpm/fpm 20 0%
#11928 qt-svg 20 0%
#11929 langstream/langstream/langstream 20 0%
#11930 readosm 20 0%
#11931 sidaf/pentest/hashid 20 0%
#11932 gabe565/tap/ascii-movie 20 0%
#11933 g-core/tap/gcore-cli 20 0%
#11934 shivammathur/extensions/memcached@7.1 20 0%
#11935 jrmastermodelbuilder/libming/libming-head 20 0%
#11936 riscv/riscv/riscv-openocd 20 0%
#11937 helib 20 0%
#11938 buildkite/buildkite/bktec 20 0%
#11939 digitalspacestdio/nextgen-devenv/digitalspace-mysql80 20 0%
#11940 maoertel/tap/hoconvert 20 0%
#11941 fcft 20 0%
#11942 shivammathur/extensions/pdo_sqlsrv@8.1 20 0%
#11943 speedcrunch 20 0%
#11944 plume 20 0%
#11945 eso/pipelines/esopipe-cr2re-recipes 20 0%
#11946 homebrew/linux-fonts/font-stix 20 0%
#11947 cockroachdb/tap/cockroach@23.1 20 0%
#11948 homebrew/linux-fonts/font-cascadia-code-pl 20 0%
#11949 yaze-ag 20 0%
#11950 beartype/beartype/beartype 20 0%
#11951 rebels-in-the-sky 20 0%
#11952 artmoskvin/hide/hide 20 0%
#11953 badoo/qa-bottles/libimobiledevice 20 0%
#11954 klaaspieter/formula/chxcode 20 0%
#11955 busterc/tap/osx-iso 20 0%
#11956 shivammathur/extensions/yaml@7.3 20 0%
#11957 dsully/tap/macos-defaults 20 0%
#11958 szampier/pipelines/espdr 20 0%
#11959 dart-lang/dart/dart@3.3.2 20 0%
#11960 picodata/tap/tarantool-picodata@2.11 20 0%
#11961 kudobuilder/tap/kudo-cli 20 0%
#11962 ojford/formulae/loginitems 20 0%
#11963 bindfs 20 0%
#11964 jamf/tap/jamf-pro 20 0%
#11965 juhanikataja/elmerfem/elmer 20 0%
#11966 shivammathur/extensions/gearman@8.2 20 0%
#11967 isometry/tap/platform-health 20 0%
#11968 cartman-kai/thrift/thrift@0.19 20 0%
#11969 mlogger 20 0%
#11970 pythops/tenere/tenere 20 0%
#11971 kittycad/kittycad/kittycad 20 0%
#11972 unac 20 0%
#11973 cdlabelgen 20 0%
#11974 dor1202/moch/moch 20 0%
#11975 libsquish 20 0%
#11976 sorairolake/tap/hf 20 0%
#11977 shivammathur/extensions/event@8.2 19 0%
#11978 ezchi/missingpiece/uvm-systemc 19 0%
#11979 celest-dev/celest/celest 19 0%
#11980 xe/pkgs/protoc-gen-connect-openapi 19 0%
#11981 shivammathur/extensions/newrelic@8.4 19 0%
#11982 bayandin/tap/postgresql@17 19 0%
#11983 stackgenhq/stackgen/stackgen 19 0%
#11984 simple2d/tap/simple2d 19 0%
#11985 dart-lang/dart/dart@3.1.0 19 0%
#11986 michaelroosz/ssh/ssh-askpass 19 0%
#11987 bornagain 19 0%
#11988 digitalspacestdio/common/openssl111w 19 0%
#11989 blackfireio/blackfire/blackfire-php72 19 0%
#11990 mongrel2 19 0%
#11991 cloudfoundry/tap/bbr 19 0%
#11992 rickard-von-essen/formulae/tcpser 19 0%
#11993 paradigmxyz/brew/mesc 19 0%
#11994 eso/pipelines/esopipe-matisse-recipes 19 0%
#11995 radiusmethod/kxd/kxd 19 0%
#11996 metaborg/metaborg/strategoxt 19 0%
#11997 single-file-cli 19 0%
#11998 vsnv/repo/gai 19 0%
#11999 szampier/pipelines/esorex 19 0%
#12000 kevemueller/ksysroot/ksysroot_i686-freebsd 19 0%
#12001 goto/tap/optimus 19 0%
#12002 kreeblah/umskt-tap/umskt 19 0%
#12003 spotify-tui 19 0%
#12004 adrianliechti/tap/devkube 19 0%
#12005 wrynegade/brew/scwrypts 19 0%
#12006 dotzero/tap/git-profile 19 0%
#12007 juicity-client 19 0%
#12008 minamijoyo/myaws/myaws 19 0%
#12009 kevemueller/ksysroot/ksysroot_mips64el-linux-gnuabi64 19 0%
#12010 cmockery 19 0%
#12011 zhongruoyu/portable-ruby-aarch64-linux/portable-libedit 19 0%
#12012 doganarif/llmdog/llmdog 19 0%
#12013 shivammathur/extensions/memcached@8.5 19 0%
#12014 jacobfg/taps-old/python-scripts 19 0%
#12015 manticoresoftware/tap-dev/manticore-extra-dev 19 0%
#12016 bucklespring 19 0%
#12017 rami3l/tap/pacaptr 19 0%
#12018 dart-lang/dart/dart@3.7.0 19 0%
#12019 sha1dc 19 0%
#12020 cubtera/cubtera/cubtera 19 0%
#12021 fornwall/tap/advent-of-code 19 0%
#12022 neved4/tap/babelfish 19 0%
#12023 heppdt2 19 0%
#12024 knative-extensions/kn-plugins/event 19 0%
#12025 kabel/pecl/php-mongodb 19 0%
#12026 cikaros/tap/d2hs 19 0%
#12027 cpuinfo 19 0%
#12028 ameshkov/tap/gocurl 19 0%
#12029 na-trium-144/y3c/cpptrace 19 0%
#12030 alexdouze/tap/gitm 19 0%
#12031 diegodiaz-tomtom/ttxcmetrics/ttxcmetrics 19 0%
#12032 visionmedia-watch 19 0%
#12033 smlfmt 19 0%
#12034 shivammathur/extensions/xlswriter@8.0 19 0%
#12035 bazelbuild/tap/bazel@5.1.1 19 0%
#12036 ford-innersource/bedrock/quarry 19 0%
#12037 pkg.m4 19 0%
#12038 shml 19 0%
#12039 desdaemon/repo/flutter_rust_bridge_codegen 19 0%
#12040 defenseunicorns/tap/uds@0.9.0 19 0%
#12041 burnt-labs/xion/xiond@9.0.1 19 0%
#12042 ajanis/custombrew/spoctunnel 19 0%
#12043 ansible@7 19 0%
#12044 shivammathur/extensions/vips@8.3 19 0%
#12045 na-trium-144/webcface/imagemagick-no-openmp 19 0%
#12046 eso/pipelines/esopipe-detmon-recipes 19 0%
#12047 digitalspacestdio/common/icu4c@74.2 19 0%
#12048 shivammathur/extensions/igbinary@7.3 19 0%
#12049 tideways/profiler/tideways-php@8.3 19 0%
#12050 hopscotch-map 19 0%
#12051 kabel/pecl/php@8.2-redis 19 0%
#12052 monk-io/monk/monk 19 0%
#12053 junkurihara/core/curl 19 0%
#12054 na-trium-144/webcface/ftxui 19 0%
#12055 green-orb 19 0%
#12056 yurijmikhalevich/tap/pytorch-python312@2.5.1 19 0%
#12057 neved4/tap/demagnetize-rs 19 0%
#12058 libstatgrab 19 0%
#12059 stellar/tap/stellar-xdr 19 0%
#12060 rwstauner/ynetd/ynetd 19 0%
#12061 fujiwara/tap/cfft 19 0%
#12062 gromgit/fuse/s3-backer-mac 19 0%
#12063 incidentist/nyxt/webkitgtk 19 0%
#12064 gromgit/fuse/wdfs-mac 19 0%
#12065 daniel-toman/exfat/exfat 19 0%
#12066 jenkins-cli 19 0%
#12067 ingmarstein/repo/onepif-to-csv 19 0%
#12068 bucketplace/platform/mortar@2.5 19 0%
#12069 bjasspa/jasspa/microemacs-help 19 0%
#12070 bv-brc/bv-brc-cli/bv-brc-cli 19 0%
#12071 doctave/doctave/doctave 19 0%
#12072 openemv/tap/dukpt 19 0%
#12073 shopify/shopify/openssl@1.0 19 0%
#12074 dvdmuckle/tap/spc 19 0%
#12075 tuist/tuist/tuist@4.5.0 19 0%
#12076 tekumara/tap/gh-doctor 19 0%
#12077 libimobiledevice-glue-1.3.0 19 0%
#12078 wvanlint/twf/twf 19 0%
#12079 jercle/tap/cloudini 19 0%
#12080 jftuga/tap/dtmate 19 0%
#12081 cartr/qt4/qwt-qt4 19 0%
#12082 shivammathur/extensions/mailparse@7.4 19 0%
#12083 digitalspacestdio/ngdev/digitalspace-redis 19 0%
#12084 manelatun/bottles/gmp 19 0%
#12085 xtclang/xvm/xdk-latest 19 0%
#12086 tvkcompany/neo/neo 19 0%
#12087 makeawishfoundation/swiftymocky/swiftymocky 19 0%
#12088 hitch 19 0%
#12089 shivammathur/extensions/couchbase@8.0 19 0%
#12090 trek10inc/tap/awsets 19 0%
#12091 cdevoogd/tap/git-branches 19 0%
#12092 david-mk-lawrence/htmltomd/htmltomd 19 0%
#12093 casacore/tap/casacore-data 19 0%
#12094 omarmhaimdat/pepe/pepe 19 0%
#12095 osx-cross/avr/avarice 19 0%
#12096 digitalspacestdio/php/php73-amqp 19 0%
#12097 bookstairs/tap/bookhunter 19 0%
#12098 krishjainx/virt-manager/virt-viewer 19 0%
#12099 actonlang/acton/acton 19 0%
#12100 manelatun/bottles/libunistring 19 0%
#12101 sorairolake/tap/scryptenc-cli 19 0%
#12102 gromgit/fuse/simple-mtpfs-mac 19 0%
#12103 ilmbase 19 0%
#12104 brewsci/science/abinit 19 0%
#12105 shivammathur/extensions/phalcon5@8.1 19 0%
#12106 peaceiris/tap/robots 19 0%
#12107 opencv@2 19 0%
#12108 sudar/arduino-mk/arduino-mk 19 0%
#12109 manifest-cyber/tap/manifest-cli 18 0%
#12110 little-cms 18 0%
#12111 axodotdev/tap/oranda 18 0%
#12112 bugwarrior 18 0%
#12113 kaiiy/tap/aicommits 18 0%
#12114 dune3d 18 0%
#12115 edgedb/tap/edgedb-cli-nightly 18 0%
#12116 ilijamt/tap/blacklist-checker 18 0%
#12117 timothyye/tap/ydict 18 0%
#12118 yodamad/tools/heimdall 18 0%
#12119 tuist/tuist/tuist@3.33.4 18 0%
#12120 lingrino/tap/glen 18 0%
#12121 losunny/tap/uxplay 18 0%
#12122 ytorbyk/warden/warden 18 0%
#12123 brewsci/bio/hyphy 18 0%
#12124 vthoang/cgminer/cgminer 18 0%
#12125 shivammathur/extensions/msgpack@7.2 18 0%
#12126 wellington 18 0%
#12127 qt-multimedia-ffmpeg 18 0%
#12128 saucelabs/tap/forwarder 18 0%
#12129 davidhoo/tap/jsonpath 18 0%
#12130 sunodo/tap/sunodo 18 0%
#12131 uzimaru0000/tap/tv 18 0%
#12132 chenrui333/tap/carton 18 0%
#12133 pcem 18 0%
#12134 chenrui333/tap/minisign 18 0%
#12135 boom-completion 18 0%
#12136 bgpkit/tap/bgpkit-broker 18 0%
#12137 digitalspacestdio/php/php80-msmtp 18 0%
#12138 macaulay2/tap/lrs 18 0%
#12139 fasmg 18 0%
#12140 johanvdhaegen/tools/ngspice 18 0%
#12141 wfa2-lib 18 0%
#12142 docker/internal/harmonia 18 0%
#12143 lgarron/lgarron/sd-card-backup 18 0%
#12144 jcouture/nv/nv 18 0%
#12145 osrf/simulation/ogre1.9-with-boost1.85 18 0%
#12146 automationd/tap/atun 18 0%
#12147 craftcms/nitro/nitro 18 0%
#12148 kabel/php-ext/php@8.2-snmp 18 0%
#12149 pelikan 18 0%
#12150 eso/pipelines/esopipe-visir-recipes 18 0%
#12151 nodenv/nodenv/nodenv-package-rehash 18 0%
#12152 task2dot 18 0%
#12153 juicity 18 0%
#12154 rdkit/rdkit/rdkit 18 0%
#12155 oven-sh/bun/bun@1.2.2 18 0%
#12156 scivisum/tap/mtr 18 0%
#12157 koenbollen/public/jl 18 0%
#12158 openlegacy/tap/openlegacy-cli 18 0%
#12159 yanghanlin/hikoboshi/loginto 18 0%
#12160 malleefoul/etc/gtkspell 18 0%
#12161 determined-ai/determined/determined-master 18 0%
#12162 suzuki-shunsuke/tfmv/tfmv 18 0%
#12163 redis/tap/riotx 18 0%
#12164 imagejs 18 0%
#12165 damiensawyer/wslutilities/wslu 18 0%
#12166 homebrew/linux-fonts/font-liberation-nerd-font 18 0%
#12167 brewsci/bio/reduce 18 0%
#12168 bbcrd/audiowaveform/audiowaveform 18 0%
#12169 swordfaith/pentest/dirb 18 0%
#12170 robotlocomotion/director/vtk@8.2.0 18 0%
#12171 denji/nginx/cache-purge-nginx-module 18 0%
#12172 macaulay2/tap/linbox 18 0%
#12173 yydecode 18 0%
#12174 ralikio/hap/hap 18 0%
#12175 evanpurkhiser/personal/keyfinder-cli 18 0%
#12176 mistydemeo/xfig/xfig 18 0%
#12177 ucblogo 18 0%
#12178 ddev-test/ddev/ddev 18 0%
#12179 pgdbf 18 0%
#12180 parra-inc/parra/parra-cli 18 0%
#12181 gatlenculp/vivaria/vivaria 18 0%
#12182 freecad/freecad/freecad@1.0.0_py312 18 0%
#12183 mistydemeo/digipres/siegfried 18 0%
#12184 vstr 18 0%
#12185 zlib-rs 18 0%
#12186 dronenb/tap/crc 18 0%
#12187 streamingfast/tap/firehose-core 18 0%
#12188 eventpp 18 0%
#12189 jhalter/mobius-hotline-server/mobius-hotline-server 18 0%
#12190 zeroc-ice/tap/icetouch@3.6 18 0%
#12191 ppss 18 0%
#12192 shivammathur/extensions/xlswriter@7.3 18 0%
#12193 eso/pipelines/esopipe-giraf-recipes 18 0%
#12194 devzero-inc/dz-cli/dz-cli 18 0%
#12195 ecmwf/ecmwf/ecbuild 18 0%
#12196 bork 18 0%
#12197 logalize 18 0%
#12198 op06072/neoasitop/neoasitop 18 0%
#12199 lucydodo/tap/sqlb-sqlite 18 0%
#12200 macaulay2/tap/topcom 18 0%
#12201 petrgazarov/git-repo-name/git-repo-name 18 0%
#12202 tmonfre/tmonfre/zoom 18 0%
#12203 xe/pkgs/protoc-gen-connect-go 18 0%
#12204 dart-lang/dart/dart@3.2.3 18 0%
#12205 borneygit/brew/pidcat 18 0%
#12206 tfverch/tfvc/tfvc 18 0%
#12207 kabel-salat/qt62lts/qtshadertools@6.2.9 18 0%
#12208 mpegdemux 18 0%
#12209 p4c 18 0%
#12210 xxxbrian/tap/cserun 18 0%
#12211 beerpiss/tap/aidoku 18 0%
#12212 elastic/platform-devenv/platform-devenv 18 0%
#12213 lhvy/tap/pipes-rs 18 0%
#12214 cdevoogd/tap/notify 18 0%
#12215 boringssl 18 0%
#12216 jonesbusy/tap/jenkins-plugin-modernizer 18 0%
#12217 shivammathur/extensions/amqp@8.0 18 0%
#12218 encfs 18 0%
#12219 brewsci/bio/libccp4 18 0%
#12220 lndgalante/subtis/subtis 18 0%
#12221 scriptcs 18 0%
#12222 davidchall/hep/fjcontrib 18 0%
#12223 ip_relay 18 0%
#12224 oven-sh/bun/bun@1.1.6 18 0%
#12225 macaulay2/tap/eantic 18 0%
#12226 gemfury/tap/gemfury 18 0%
#12227 google/verible/verible 18 0%
#12228 vossenwout/crev/crev 18 0%
#12229 openjdk@210 18 0%
#12230 oven-sh/bun/bun@1.1.0 18 0%
#12231 cardanosolutions/formulas/kupo 18 0%
#12232 homebrew/linux-fonts/font-monoid-nerd-font 18 0%
#12233 beecrypt 18 0%
#12234 homebrew/linux-fonts/font-cascadia-mono 18 0%
#12235 ibm-swift/kitura/kitura 18 0%
#12236 gouz/tools/choc 18 0%
#12237 mervinpraison/praisonai/praisonai 18 0%
#12238 digitalspacestdio/php/composer@2.5 18 0%
#12239 lbfgspp 18 0%
#12240 stormforger/forge/forge 18 0%
#12241 ryleelyman/seamstress/seamstress@2 18 0%
#12242 zahid-iqbal-alpha/grapple-go-cli/grapple-go-cli 18 0%
#12243 defenseunicorns/tap/uds@0.10.2 18 0%
#12244 reeywhaar/tap/tmbliss 18 0%
#12245 mxcr-cpu/lua5.1-repo/lua@5.1 18 0%
#12246 amiaopensource/amiaos/ffmprovisr 18 0%
#12247 oven-sh/bun/bun@1.1.21 17 0%
#12248 open-zwave 17 0%
#12249 materializeinc/materialize/mz 17 0%
#12250 dtjm/taps/bible 17 0%
#12251 octavore/tools/delta 17 0%
#12252 williamthorsen/tap/git-recon 17 0%
#12253 ad-si/tap/tasklite 17 0%
#12254 fluentci-io/tap/cli 17 0%
#12255 jingweno/upterm/upterm 17 0%
#12256 govmomi/tap/govc 17 0%
#12257 vtbassmatt/nesfab/nesfab 17 0%
#12258 jerson/tap/pgrok 17 0%
#12259 homebrew/linux-fonts/font-mplus-nerd-font 17 0%
#12260 kevemueller/ksysroot/pkg 17 0%
#12261 sitecore/content-hub/ch-cli 17 0%
#12262 capa 17 0%
#12263 homebrew/portable-ruby/portable-libedit 17 0%
#12264 shopify/private/bumper 17 0%
#12265 vbauerster/getparty/getparty 17 0%
#12266 ortus-solutions/boxtap/commandbox 17 0%
#12267 jftuga/tap/spotprice 17 0%
#12268 obie 17 0%
#12269 dpo/mumps-jl/mpich-scalapack 17 0%
#12270 freecad/freecad/shiboken2@5.15.5 17 0%
#12271 thefox/brewery/cmus-control 17 0%
#12272 mhorbul/qpress/qpress 17 0%
#12273 shivammathur/extensions/memcached@7.0 17 0%
#12274 gitlab-helper 17 0%
#12275 julian/tap/ardour 17 0%
#12276 yvee1/tools/hascard 17 0%
#12277 manelatun/bottles/xz 17 0%
#12278 tsub/s3-edit/s3-edit 17 0%
#12279 na-trium-144/y3c/rang 17 0%
#12280 connor-27/submodule-kit/fgit 17 0%
#12281 randy3k/r/r-x11 17 0%
#12282 cristi-/opensslat11/openssl@1.1 17 0%
#12283 cjdenio/tap/underpass 17 0%
#12284 p1x3l101-10/personal/brew-tools 17 0%
#12285 gravity 17 0%
#12286 sj26/postgresql-extensions/pg_partman 17 0%
#12287 sagesse-cn/ios-restore-tools/libimobiledevice-glue 17 0%
#12288 vrypan/fario/fario 17 0%
#12289 mittelmark/mittelmark/microemacs 17 0%
#12290 poviolabs/lingua/lingua 17 0%
#12291 felixkratz/formulae/fnnn 17 0%
#12292 wdongw/mymagick/homebrew-mymagick 17 0%
#12293 bazelbuild/tap/bazel@6.1.1 17 0%
#12294 minamijoyo/tfedit/tfedit 17 0%
#12295 sumoduduk/tap/terminusdm 17 0%
#12296 mtg/essentia/gaia 17 0%
#12297 mongodb/brew/mongodb-enterprise@7.0 17 0%
#12298 gtree 17 0%
#12299 ksonnet/tap/ks 17 0%
#12300 open-webui 17 0%
#12301 digitalspacestdio/nextgen-devenv/digitalspace-supervisor 17 0%
#12302 agordon/gordon/rsyslogd 17 0%
#12303 henrik242/brew/envps 17 0%
#12304 pagerduty/pd_brews/pd-kubectx 17 0%
#12305 skatkov/tap/devtui 17 0%
#12306 ykasap/tap/mosh-eaw 17 0%
#12307 python-certifi 17 0%
#12308 sergelogvinov/tap/pvecsictl 17 0%
#12309 ddelange/brewformulae/yt 17 0%
#12310 futurice/jalapeno/jalapeno 17 0%
#12311 darrenburns/posting/posting 17 0%
#12312 banh-canh/ytui/ytui 17 0%
#12313 1history/onehistory/onehistory 17 0%
#12314 bjesus/pipet/pipet 17 0%
#12315 bl4cc4t/vsplugins/vsp-flash3kyuu_deband 17 0%
#12316 tsirysndr/tap/musicplayer 17 0%
#12317 d3v1an7/taps/macos-fresh 17 0%
#12318 eluv-io/eluv-io/elv 17 0%
#12319 brewsci/bio/bracken 17 0%
#12320 auterion/auterion/virtual-skynode 17 0%
#12321 wwwoffle 17 0%
#12322 openrtm/omniorb/omniorb-ssl-py310 17 0%
#12323 christosgalano/christosgalano/bicep-docs 17 0%
#12324 broadinstitute/dsp/cromshell 17 0%
#12325 fnstruct/tap/fmicro 17 0%
#12326 enciyo/taps/copilothistoryexporter 17 0%
#12327 shivammathur/extensions/imagick@7.1 17 0%
#12328 cronolog 17 0%
#12329 nepherte/roon/roon-tui 17 0%
#12330 svix/svix/svix-cli 17 0%
#12331 duaraghav8/tap/dockershrink 17 0%
#12332 sitecore/content-hub/ch-one-cli 17 0%
#12333 brewsci/bio/qtltools 17 0%
#12334 danielbair/tap/aeneas 17 0%
#12335 dtan4/dtan4/k8stail 17 0%
#12336 justintime50/formulas/alchemist 17 0%
#12337 baskerville/formulae/bspwm 17 0%
#12338 agiliopadua/extras/xcrysden 17 0%
#12339 aderuelle/tap/img4tool 17 0%
#12340 sidaf/pentest/the_harvester 17 0%
#12341 chordii 17 0%
#12342 draftbrew/tap/posting 17 0%
#12343 pmamico/java/jssl 17 0%
#12344 fioncat/apps/csync 17 0%
#12345 http4k/tap/http4k-mcp-desktop 17 0%
#12346 ctxsh/tap/seactl 17 0%
#12347 na-trium-144/y3c/y3c-stl 17 0%
#12348 meshtastic 17 0%
#12349 microsoft/mssql-release/mssql-tools18@18.2.1.1 17 0%
#12350 dcmfx/tap/dcmfx 17 0%
#12351 lgdd/tap/lfr-cli 17 0%
#12352 nbimg 17 0%
#12353 osrf/simulation/cmake@3.21.4 17 0%
#12354 setopsco/manager/setops-cli 17 0%
#12355 rliebz/tusk/tusk 17 0%
#12356 novi/tap/cmysql 17 0%
#12357 aerospike/tools/aerolab 17 0%
#12358 lfdev28/itzcli-maximo/itzcli_maximo 17 0%
#12359 microsoft/mssql-release/msodbcsql18@18.3.3.1 17 0%
#12360 clevyr/tap/kubedb 17 0%
#12361 manelatun/bottles/ca-certificates 17 0%
#12362 danieljprice/all/mcfost 17 0%
#12363 heavywatal/tap/sfmt-class 17 0%
#12364 mistydemeo/digipres/redumper 17 0%
#12365 chenrui333/tap/tuono 17 0%
#12366 note-cli/note-cli/note-cli 17 0%
#12367 openrtm/openrtm2/openrtm2-py39 17 0%
#12368 goles/battery/battery 17 0%
#12369 digitalspacestdio/php/php70-amqp 17 0%
#12370 simnalamburt/x/ra-multiplex 17 0%
#12371 kushagra1212/flow-wing/flowwing 17 0%
#12372 recoverpy 17 0%
#12373 kleytonmr/tap/ecs-task-management 17 0%
#12374 aming/aming/batteries 17 0%
#12375 quackduck/tap/cool 17 0%
#12376 dvessel/oetools/oeadvscan 17 0%
#12377 cc3200tool 17 0%
#12378 shivammathur/extensions/sqlsrv@8.1 17 0%
#12379 coatl-dev/coatl-dev/jython@2.7.3 17 0%
#12380 scullionw/tap/dirstat-rs 17 0%
#12381 jrmastermodelbuilder/libming/libming-stable 17 0%
#12382 libuhdr 17 0%
#12383 fujiwara/tap/aswrap 17 0%
#12384 davep/homebrew/tinboard 17 0%
#12385 mkcue 17 0%
#12386 homebrew/linux-fonts/font-arial 17 0%
#12387 libpq@15 17 0%
#12388 shivammathur/extensions/newrelic@8.0 17 0%
#12389 brewsci/bio/usalign 17 0%
#12390 digitalspacestdio/common/gettext@0.22-icu4c.72.1 17 0%
#12391 abinit/tap/netcdf-fortran-parallel 17 0%
#12392 shivammathur/extensions/rdkafka@7.2 17 0%
#12393 twsnmp/tap/twsla 17 0%
#12394 freecad/freecad/freecad@1.0.0_rc2_py312 17 0%
#12395 nikaro/tap/basedpyright 17 0%
#12396 sisc-scheme 17 0%
#12397 pigeonholeio/pigeonhole/pigeonhole-cli 17 0%
#12398 empeje/bos-cli/bos-cli 17 0%
#12399 shivammathur/extensions/expect@7.0 17 0%
#12400 libabigail 17 0%
#12401 olets/tap/zsh-autosuggestions-abbreviations-strategy 17 0%
#12402 wernerturing/multi-delogo/goocanvasmm 17 0%
#12403 target-ops/tap/gitswitch 17 0%
#12404 tradcpp 17 0%
#12405 fathallatechops/saml-auth/saml-auth 17 0%
#12406 eso/pipelines/esopipe-xshoo-demo 17 0%
#12407 shivammathur/extensions/amqp@7.3 17 0%
#12408 leschekhomann/lh/openjdk 17 0%
#12409 haoqixu/thrift/thrift@0.9 17 0%
#12410 kevemueller/ksysroot/ksysroot_powerpc-freebsd 16 0%
#12411 intility/tap/cwc 16 0%
#12412 brewsci/bio/wfmash 16 0%
#12413 binkd 16 0%
#12414 brewsci/bio/d4tools 16 0%
#12415 moonfruit/tap/doge 16 0%
#12416 ovyerus/tap/bandsnatch 16 0%
#12417 ddev-test/ddev-edge/ddev 16 0%
#12418 terminushq/trantor/trantor 16 0%
#12419 cole-trapnell-lab/r-srf/r 16 0%
#12420 projectm-eval 16 0%
#12421 johanvdhaegen/tools/pytype 16 0%
#12422 seedpower/apps/xproduct 16 0%
#12423 buildpacks-community/kpack-cli/kp 16 0%
#12424 openconnect-gui 16 0%
#12425 virtualzone/tap/onedrive-uploader 16 0%
#12426 ssh-permit-a38 16 0%
#12427 oculus-core/gogo/gogo 16 0%
#12428 fumiya-kume/mdefaults/mdefaults 16 0%
#12429 shivammathur/extensions/gnupg@7.4 16 0%
#12430 r3 16 0%
#12431 shivammathur/extensions/sqlsrv@8.4 16 0%
#12432 stellar/tap/stellar-cli 16 0%
#12433 pkelaita/cliff/cliff 16 0%
#12434 chenrui333/tap/fancy-cat 16 0%
#12435 pax-runner 16 0%
#12436 theseus-rs/tap/rsql_cli 16 0%
#12437 digitalspacestdio/nextgen-devenv/digitalspace-mysql57 16 0%
#12438 lifepillar/appleii/openemulator 16 0%
#12439 alexmyczko/mac/nvtop 16 0%
#12440 vigo/git-init-githubrepo/git-init-githubrepo 16 0%
#12441 bayandin/tap/pg_embedding 16 0%
#12442 takesako/sigrok/micronucleus 16 0%
#12443 nodesource/nsolid/nsolid-iron 16 0%
#12444 kevemueller/ksysroot/ksysroot_arm-linux-gnueabi 16 0%
#12445 gromgit/dev/kitty 16 0%
#12446 armand-sauzay/tap/note 16 0%
#12447 score-spec/tap/score-helm 16 0%
#12448 erikw/tap/restic-automatic-backup-scheduler-check 16 0%
#12449 nsis-dev/makensis/makensis@3.10 16 0%
#12450 statping/statping/statping 16 0%
#12451 5ouma/formula/mli 16 0%
#12452 5ouma/formula/mksei 16 0%
#12453 kevemueller/ksysroot/ksysroot_x86_64-freebsd 16 0%
#12454 shivammathur/extensions/vips@8.5 16 0%
#12455 ouihelp/formulas/postgresql@15-postgis 16 0%
#12456 datamimic_ce 16 0%
#12457 thoughtbot/formulae/rcm 16 0%
#12458 digitalspacestdio/common/icu4c@73.2 16 0%
#12459 tfcollins/formulae/libad9361-iio 16 0%
#12460 shivammathur/extensions/v8js@8.2 16 0%
#12461 aws/tap/eksctl 16 0%
#12462 szampier/pipelines/esopipe-gravity 16 0%
#12463 valitydev/tap/woorl 16 0%
#12464 lxsplit 16 0%
#12465 eos/eos/boost-python3.9@1.85 16 0%
#12466 pantersoft/pantersoft/rpiboot 16 0%
#12467 fluxninja/aperture/aperturectl 16 0%
#12468 space-naught/deskthing/testthingmac 16 0%
#12469 pachyderm/tap/pachctl@2.8 16 0%
#12470 manelatun/bottles/lz4 16 0%
#12471 57uff3r/mac-apps/ibooks_notes_exporter 16 0%
#12472 iparq 16 0%
#12473 eso/pipelines/esopipe-amber-recipes 16 0%
#12474 chenrui333/tap/omnictl 16 0%
#12475 digitalspacestdio/php/php80-imagick 16 0%
#12476 messense/macos-cross-toolchains/i686-unknown-linux-musl 16 0%
#12477 shivammathur/extensions/psr@8.3 16 0%
#12478 omnistrate/core/omnistrate-ctl 16 0%
#12479 libsbml 16 0%
#12480 lecrisut/tmt/beakerlib 16 0%
#12481 lifepillar/appleii/linapple 16 0%
#12482 dor1202/lazyregex/lazyregex 16 0%
#12483 sidaf/pentest/gobuster 16 0%
#12484 bam 16 0%
#12485 gromgit/dev/codon-llvm 16 0%
#12486 jooaf/thoth/thoth 16 0%
#12487 shivammathur/extensions/xlswriter@7.1 16 0%
#12488 bitdriftlabs/bd/bd 16 0%
#12489 unisonweb/unison/unison-from-src 16 0%
#12490 doas 16 0%
#12491 julzdiverse/tools/aviator 16 0%
#12492 fish-done 16 0%
#12493 redhatinsights/bonfire/bonfire 16 0%
#12494 mistricky/tap/codesnap 16 0%
#12495 qodem 16 0%
#12496 octave-app/octave-app/octave@9.3.0 16 0%
#12497 fingcloud/tap/fing 16 0%
#12498 reitermarkus/tap/rbenv-system-ruby 16 0%
#12499 aske 16 0%
#12500 fuse-zip 16 0%
#12501 digitalspacestdio/ngdev/digitalspace-webp-convert 16 0%
#12502 eth-p/software/bat-extras-batdiff 16 0%
#12503 deliveryhero/dp-tap/dp-devinfra 16 0%
#12504 asymptotic-code/sui-prover/sui-prover 16 0%
#12505 git-if 16 0%
#12506 vmware-tanzu/tanzu/tanzu-community-edition 16 0%
#12507 ankane/brew/pdscan 16 0%
#12508 cyclone-scheme/cyclone/cyclone-bootstrap 16 0%
#12509 gcc@6 16 0%
#12510 warp 16 0%
#12511 avisi-cloud/tools/acloud-toolkit 16 0%
#12512 mvogelgesang/quick-org-creator/quick-org-creator 16 0%
#12513 doubledown 16 0%
#12514 rawnly/tap/splash-cli 16 0%
#12515 homebrew/linux-fonts/font-space-mono-nerd-font 16 0%
#12516 qqiangwu/tap/cppship 16 0%
#12517 brewsci/bio/figtree 16 0%
#12518 josephburgess/formulae/gust 16 0%
#12519 camdencheek/brew/fre 16 0%
#12520 maven@3.5 16 0%
#12521 corrupt952/tmuxist/tmuxist 16 0%
#12522 oven-sh/bun/bun@1.0.33 16 0%
#12523 dart-lang/dart/dart@3.0.6 16 0%
#12524 px4/px4/kconfig-frontends 16 0%
#12525 hay-kot/dirwatch-tap/dirwatch 16 0%
#12526 brewsci/bio/eigensoft 16 0%
#12527 homebrew/linux-fonts/font-fantasque-sans-mono-nerd-font 16 0%
#12528 aconchillo/guile/guile-lib 16 0%
#12529 shivammathur/extensions/pecl_http@7.3 16 0%
#12530 shivammathur/extensions/pecl_http@7.0 16 0%
#12531 xyzzy42/horology/tg-timer 16 0%
#12532 codstts 16 0%
#12533 davidchall/hep/apfel++ 16 0%
#12534 i-am-bee/beeai/arize-phoenix 16 0%
#12535 instantclienttap/instantclient/instantclient-arm64-sqlplus 16 0%
#12536 bartekpacia/tools/fhome 16 0%
#12537 kubetail-org/tap/kubetail 16 0%
#12538 shivammathur/extensions/ast@8.4 16 0%
#12539 chatziko/tap/libqif 16 0%
#12540 cvent/tap/oktaws 16 0%
#12541 doctolib/yak/yak 16 0%
#12542 devbytes-cloud/tap/conditioner 16 0%
#12543 goatapp/infra/dunk 16 0%
#12544 gromgit/fuse/fusehfs-mac 16 0%
#12545 bucketplace/platform/mortar-dev 16 0%
#12546 shivammathur/extensions/memcache@8.0 16 0%
#12547 mix-completion 16 0%
#12548 shivammathur/extensions/ast@8.2 16 0%
#12549 ericm/stonks/stonks 16 0%
#12550 synzack/synzackx/synzackx 16 0%
#12551 alps-asd/asd/asd 16 0%
#12552 serverlessnext/lumni/lumni 16 0%
#12553 janniks/git-ignore/git-ignore 16 0%
#12554 hakamadare/sequoia-chameleon/sequoia-chameleon 16 0%
#12555 digitalspacestdio/php/php70-msmtp 16 0%
#12556 kitlangton/tap/given 16 0%
#12557 i3ash/bin/fortify 16 0%
#12558 slp/krunkit/libkrun-efi 16 0%
#12559 norm 16 0%
#12560 keaz/homebrew/dupcheck 16 0%
#12561 caarlos0/tap/tt 16 0%
#12562 michaeldfallen/formula/git-radar 16 0%
#12563 geolessel/repo/trello-cli 16 0%
#12564 dart-lang/dart/dart@3.7.1 16 0%
#12565 telemaco019/duplik8s/duplik8s 16 0%
#12566 octave-app/octave-app/octave@9.1.0 16 0%
#12567 kyoshidajp/ghkw/ghkw 16 0%
#12568 swig@3 16 0%
#12569 shivammathur/extensions/vips@8.0 16 0%
#12570 jfb3615/repo/coin-bb 16 0%
#12571 openrtm/omniorb/omniorb-ssl-py311 16 0%
#12572 saltbo/bin/seenvoy 16 0%
#12573 sebglazebrook/aliases/aliases 16 0%
#12574 ii 16 0%
#12575 bashsenpai/core/senpai-cli 16 0%
#12576 yunis-du/brew/flash-cat 16 0%
#12577 simonw/llm/llm 16 0%
#12578 stone 16 0%
#12579 clavus 16 0%
#12580 brewsci/bio/mmdb2 16 0%
#12581 eso/pipelines/esopipe-efosc 16 0%
#12582 stek29/idevice/img4lib 16 0%
#12583 joshsagredo/tap/syn-flood 16 0%
#12584 imhansai/proxy/sing-box 16 0%
#12585 kabel/pecl/php-imagick 16 0%
#12586 octave-app/octave-app/octave-octapp@9.1.0 16 0%
#12587 jianshu93/gsearch/gsearch 16 0%
#12588 shivammathur/extensions/mailparse@7.3 16 0%
#12589 azat-archive/chdig/chdig 16 0%
#12590 eso/pipelines/esopipe-crires-recipes 16 0%
#12591 eso/pipelines/esopipe-efosc-recipes 16 0%
#12592 homebrew/linux-fonts/font-cascadia-mono-pl 16 0%
#12593 sakunaga/sandbox/sandbox 16 0%
#12594 eso/pipelines/esopipe-muse-recipes 16 0%
#12595 tuist/tuist/tuist@3.26.0 16 0%
#12596 shivammathur/extensions/imagick@7.0 16 0%
#12597 homeport/tap/retry 16 0%
#12598 hazelcast/hz/hazelcast-enterprise 16 0%
#12599 silphid/tap/jen 16 0%
#12600 modularml/modular/modular 15 0%
#12601 szampier/pipelines/esopipe-esotk-recipes 15 0%
#12602 xiaohk/clip2imgur/clip2imgur 15 0%
#12603 xfangfang/wiliwili/mpv-wiliwili 15 0%
#12604 digitalspacestdio/common/libpq@16.2-icu4c.69.1 15 0%
#12605 fastanime 15 0%
#12606 loft-sh/tap/loft 15 0%
#12607 tdavidcl/shamrock/shamrock 15 0%
#12608 hello-root 15 0%
#12609 mlniang/pentest/cewl 15 0%
#12610 isometry/tap/vault-ssh-plus 15 0%
#12611 adflib 15 0%
#12612 dkanejs/astronomer/astronomer 15 0%
#12613 openrtm/openrtm2/openrtm2-py311 15 0%
#12614 shivammathur/extensions/igbinary@5.6 15 0%
#12615 wakeful/selection/yaml2json 15 0%
#12616 shivammathur/extensions/gearman@8.1 15 0%
#12617 harshalranjhani/harshalranjhani/genie 15 0%
#12618 homebrew/linux-fonts/font-iosevka-curly 15 0%
#12619 libav 15 0%
#12620 jevinskie/jevstuff/jeviterm 15 0%
#12621 jasonhancock/jasonhancock/jasongen 15 0%
#12622 dronedb 15 0%
#12623 znscli/tap/zns 15 0%
#12624 itchyny/tap/bed 15 0%
#12625 tsirysndr/tap/tunein 15 0%
#12626 rsteube/tap/vincent 15 0%
#12627 liam-ilan/terminal3d/terminal3d 15 0%
#12628 z80oolong/tmux/tmux@3.4 15 0%
#12629 recyclarr 15 0%
#12630 mailcheck 15 0%
#12631 jabenninghoff/edge/apg 15 0%
#12632 grimme-lab/qc/toml-f 15 0%
#12633 eth-p/software/bat-extras-prettybat 15 0%
#12634 narekmosisian/mac-storage-manager/mac-storage-manager 15 0%
#12635 diamondgotcat/cateye/cateye 15 0%
#12636 eso/pipelines/esopipe-hawki-recipes 15 0%
#12637 homebrew/php/php@7.4 15 0%
#12638 shivammathur/extensions/ssh2@8.4 15 0%
#12639 scmn-dev/tap/secman 15 0%
#12640 shivammathur/extensions/memcache@8.5 15 0%
#12641 libecpint 15 0%
#12642 digitalspacestdio/php/php84-imagick 15 0%
#12643 minised 15 0%
#12644 boardzilla/tap/boardzilla-devtools 15 0%
#12645 brewsci/bio/glimmerhmm 15 0%
#12646 cdparanoia 15 0%
#12647 tgtakaoka/m6809/libc-m6809 15 0%
#12648 na-trium-144/webcface/tiny-process-library 15 0%
#12649 phase2/devtools/devtools 15 0%
#12650 juicity-server 15 0%
#12651 moneta-smartbanka/xctesthtmlreport/xctesthtmlreport 15 0%
#12652 rioriost/g2c/g2c 15 0%
#12653 libgudev 15 0%
#12654 microsoft/msstore-cli/msstore-cli 15 0%
#12655 eso/pipelines/esopipe-nirps 15 0%
#12656 neved4/tap/nomore403 15 0%
#12657 scalr-cli 15 0%
#12658 px4/px4/gcc-arm-none-eabi-83 15 0%
#12659 alajmo/sake/sake 15 0%
#12660 mwatelescope/tap/casacore 15 0%
#12661 block/ftl/ftl 15 0%
#12662 xkeyboard-config 15 0%
#12663 digzyme/third-party-tools/megagta 15 0%
#12664 mosra/magnum/magnum-plugins 15 0%
#12665 lambdatest/tap-lambdatest-tunnel/lambdatest-tunnel 15 0%
#12666 stuartleeks/tap/devcontainer 15 0%
#12667 keyz/tap/comicsans 15 0%
#12668 jadolg/tap/szero 15 0%
#12669 azure/aks-engine/aks-engine 15 0%
#12670 spring-projects-experimental/spring-cli/spring-cli 15 0%
#12671 btb/open-watcom/jwasm 15 0%
#12672 guessi/tap/cloudtrail-cli 15 0%
#12673 spqr 15 0%
#12674 cutbox/cutbox/cutbox 15 0%
#12675 nanaian/brew/mips-linux-gnu-gcc 15 0%
#12676 clens 15 0%
#12677 diffuse 15 0%
#12678 smu 15 0%
#12679 homebrew/linux-fonts/font-iosevka-etoile 15 0%
#12680 huadeity/tap/vencord-installer 15 0%
#12681 icecube/icecube/pal 15 0%
#12682 shivammathur/extensions/rdkafka@7.3 15 0%
#12683 eso/pipelines/esopipe-uves-demo 15 0%
#12684 taylorwilsdon/tap/reddacted 15 0%
#12685 tgragnato/tap/arti 15 0%
#12686 egoist/tap/dum 15 0%
#12687 marat-yusupov/dino/dino 15 0%
#12688 petere/postgresql/postgresql@9.2 15 0%
#12689 brewsci/bio/beast2 15 0%
#12690 oven-sh/bun/bun@1.1.43 15 0%
#12691 hnrobert/cmdp/cmdp 15 0%
#12692 ycj3/agchat/agchat 15 0%
#12693 po-sen/tap/asdf 15 0%
#12694 tsqllint/tsqllint/tsqllint 15 0%
#12695 peaceiris/tap/nvm 15 0%
#12696 digitalspacestdio/nextgen-devenv/digitalspace-dnsmasq 15 0%
#12697 snowflakedb/cloudeng/zns 15 0%
#12698 malbolge 15 0%
#12699 guotsuan/mwa-tools/halomodel 15 0%
#12700 formancehq/tap/numary 15 0%
#12701 nuomi1/tap/leanify 15 0%
#12702 digitalspacestdio/php/php71-msmtp 15 0%
#12703 kevemueller/ksysroot/ksysroot_mipsel-linux-gnu 15 0%
#12704 thethingsnetwork/lorawan-stack/ttn-lw-migrate 15 0%
#12705 go-to-k/tap/lamver 15 0%
#12706 hzhangolemiss/taps/atomsk 15 0%
#12707 pulit/ffmpeg/ffmpeg 15 0%
#12708 wavefronthq/wavefront/wfproxy 15 0%
#12709 shivammathur/extensions/event@8.1 15 0%
#12710 ekristen/tap/azure-nuke@1 15 0%
#12711 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd 15 0%
#12712 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd 15 0%
#12713 japelsin/tap/pplx 15 0%
#12714 danerwilliams/tap/charcoal 15 0%
#12715 bflad/tap/tfproviderlint 15 0%
#12716 xwmx/taps/notes-app 15 0%
#12717 aride 15 0%
#12718 ffizer/ffizer/ffizer 15 0%
#12719 casadi 15 0%
#12720 ministat 15 0%
#12721 rsvihladremio/tools/ddc 15 0%
#12722 digitalspacestdio/common/gettext@0.22-icu4c.74.2 15 0%
#12723 wezm/taps/rsspls 15 0%
#12724 shivammathur/extensions/event@8.4 15 0%
#12725 nanomsgxx 15 0%
#12726 simonw/llm/symbex 15 0%
#12727 npush 15 0%
#12728 rogual/neovim-dot-app/neovim-dot-app 15 0%
#12729 garrettkrohn/treekanga/treekanga 15 0%
#12730 abinit/tap/qagate 15 0%
#12731 open-component-model/tap/ocm@0.18.0 15 0%
#12732 rioriost/age-mcp-server/age-mcp-server 15 0%
#12733 dnspython 15 0%
#12734 drewwyatt/tap/git-tidy 15 0%
#12735 idc101/gitmkver/git-mkver 15 0%
#12736 gunturprasojo/flutter_indivara_initializer/flutter_indivara_initializer 15 0%
#12737 bbilgin01/cs240ozu/riscv-pk 15 0%
#12738 hiro-o918/tap/awsctx 15 0%
#12739 avinash-kamath/brews/scim-sim 15 0%
#12740 libconfini 15 0%
#12741 hermes-engine 15 0%
#12742 dependabot-cli 15 0%
#12743 hap 15 0%
#12744 acidtib/kamal/kamal 15 0%
#12745 digitalspacestdio/php/php73-msmtp 15 0%
#12746 d1ylab/tap/scls 15 0%
#12747 jlp04/homebrew/tfdocgen 15 0%
#12748 charge 15 0%
#12749 latitudesh/tools/lsh 15 0%
#12750 jez/formulae/git-heatmap 15 0%
#12751 kaiiy/tap/gh-clone 15 0%
#12752 astronomer/tap/astro@1.8.4 15 0%
#12753 liopun/brew/prp 15 0%
#12754 jtyr/repo/gbt 15 0%
#12755 ibotta/public/sopstool 15 0%
#12756 cozycactus/tap/soapyrx888 15 0%
#12757 homebrew/linux-fonts/font-monaspace 15 0%
#12758 cqlabs/dcm/dcm@1.17.3 15 0%
#12759 rogermolas/csv-localizer/csv-localizer 15 0%
#12760 abyss/tools/awsp 15 0%
#12761 streamx-dev/tap/streamx@0.3.8 15 0%
#12762 s3-backer 15 0%
#12763 ryven 15 0%
#12764 dante-biase/x2x/jar2app 15 0%
#12765 kevemueller/ksysroot/ksysroot_powerpc64-freebsd 15 0%
#12766 brewsci/bio/shovill 15 0%
#12767 sunny0826/tap/kubecm 15 0%
#12768 rafttio/tap/raftt 15 0%
#12769 merde-bot/tap/merde 15 0%
#12770 sourcegraph/pgvector/pgvector 15 0%
#12771 dskecse/tap/elasticsearch-full@7.17 15 0%
#12772 robotlocomotion/director/ibex@2.7.4 15 0%
#12773 johan162/themeltspice/themeltspice 15 0%
#12774 shivammathur/extensions/swoole@7.1 15 0%
#12775 bsdsfv 15 0%
#12776 shivammathur/extensions/expect@8.4 15 0%
#12777 bazelbuild/tap/bazel@5.3.0 15 0%
#12778 bartekpacia/tools/emu 15 0%
#12779 clubanderson/labeler/labeler 15 0%
#12780 macoscontainers/formula/containerd 15 0%
#12781 coder/coder/coder@1 15 0%
#12782 gusto/gusto/wait-for-it 15 0%
#12783 riddleman/tap/doken 15 0%
#12784 mysql@5.6 15 0%
#12785 frigus02/tap/kyml 15 0%
#12786 virtuslab/scala-experimental/scala 15 0%
#12787 shivammathur/extensions/memcache@8.1 15 0%
#12788 manelatun/catalina/ca-certificates 15 0%
#12789 shivammathur/extensions/gnupg@8.2 15 0%
#12790 aconchillo/guile/guile-websocket 15 0%
#12791 ethancarlsson/openapi-to-hurl/openapi-to-hurl 15 0%
#12792 libqux 15 0%
#12793 jaxxstorm/tap/stunner 15 0%
#12794 gnudatalanguage 14 0%
#12795 homebrew/linux-fonts/font-linux-biolinum 14 0%
#12796 krabby 14 0%
#12797 aaguirreo/esopipelines/molecfit-third-party 14 0%
#12798 digitalspacestdio/php/php72-msmtp 14 0%
#12799 heremaps/map-making-cli/maps 14 0%
#12800 gromgit/tap/sqbig 14 0%
#12801 envoy/tools/k8sconfig 14 0%
#12802 kevemueller/ksysroot/ksysroot_s390x-linux-gnu 14 0%
#12803 shivammathur/extensions/couchbase@8.5 14 0%
#12804 threatcl 14 0%
#12805 thegreenwebfoundation/carbon-aware-tools/grid-intensity 14 0%
#12806 v8@10 14 0%
#12807 johanvdhaegen/tools/pyink 14 0%
#12808 shivammathur/extensions/yaml@7.1 14 0%
#12809 aacgain 14 0%
#12810 grampelberg/kty/kty 14 0%
#12811 jrmastermodelbuilder/swftools/swftools-stable 14 0%
#12812 yurijmikhalevich/tap/torchvision-python312@0.20.1 14 0%
#12813 gambithunt/tools/ghostty-ghost 14 0%
#12814 chanzuckerberg/tap/czid-cli 14 0%
#12815 shopify/private/trino-certloader 14 0%
#12816 dustinwilson/tap/dbt-core-bundles 14 0%
#12817 shivammathur/extensions/sqlsrv@8.0 14 0%
#12818 shivammathur/extensions/gearman@8.4 14 0%
#12819 dxw/tap/whippet 14 0%
#12820 dlr-ts/sumo/sumo@1.11.0 14 0%
#12821 bradyjoslin/assembllm/assembllm 14 0%
#12822 homebrew/linux-fonts/font-agave-nerd-font 14 0%
#12823 fortran-lang/fortran/lfortran 14 0%
#12824 foundationdb 14 0%
#12825 anacrolix/cove/cove 14 0%
#12826 nicerloop/nicerloop/gcli 14 0%
#12827 deviceinsight/packages/kafkactl-aws-plugin 14 0%
#12828 specdown/repo/specdown 14 0%
#12829 eso/pipelines/esorex 14 0%
#12830 neved4/tap/doas 14 0%
#12831 quic/quic/libepoxy 14 0%
#12832 snowplow-product/taps/snowplow-cli 14 0%
#12833 moonfruit/tap/tongsuo 14 0%
#12834 nikaro/tap/jedi-language-server 14 0%
#12835 sysprof 14 0%
#12836 yarlson/ftl/ftl 14 0%
#12837 itex2mml 14 0%
#12838 xmlcatmgr 14 0%
#12839 eos/eos/eos 14 0%
#12840 eso/pipelines/esopipe-harps 14 0%
#12841 pachyderm/tap/pachctl@2.12 14 0%
#12842 isync-sasl 14 0%
#12843 gurk-rs 14 0%
#12844 glycin 14 0%
#12845 rioriost/tapostreamer/tapostreamer 14 0%
#12846 k1low/tbls/tbls 14 0%
#12847 dhth/tap/bmm 14 0%
#12848 out-of-cheese-error/the-way/the-way 14 0%
#12849 chayev/tap/yurl 14 0%
#12850 dart-lang/dart/dart@2.15 14 0%
#12851 mistydemeo/formulae/gbdk 14 0%
#12852 digitalspacestdio/common/gettext@0.22-icu4c.69.1 14 0%
#12853 shivammathur/extensions/expect@7.1 14 0%
#12854 digitalspacestdio/common/libxml2@2.12-icu4c.72.1 14 0%
#12855 piv-cli-tool 14 0%
#12856 jetstack/jetstack/jsctl 14 0%
#12857 rpmspectool 14 0%
#12858 oh-my-zsh 14 0%
#12859 wandera/wandera/fictl 14 0%
#12860 hazelcast/hz/hazelcast-management-center@5.4.1 14 0%
#12861 mogenius/punq/punq 14 0%
#12862 shivammathur/extensions/xlswriter@7.0 14 0%
#12863 homebrew/linux-fonts/font-verdana 14 0%
#12864 guilhermeprokisch/smd/smd 14 0%
#12865 ensembl/moonshine/phrap 14 0%
#12866 homebrew/linux-fonts/font-iosevka-slab 14 0%
#12867 enarx/enarx/enarx 14 0%
#12868 rustyrazorblade/rustyrazorblade/easy-cass-lab 14 0%
#12869 brewsci/bio/lofreq 14 0%
#12870 pipe-cd/tap/pipectl 14 0%
#12871 shivammathur/extensions/memcached@5.6 14 0%
#12872 indexify-server 14 0%
#12873 chenrui333/tap/bpmnlint 14 0%
#12874 kabel/pecl/php-spx 14 0%
#12875 wirouter_keyrec 14 0%
#12876 navanchauhan/tap/chemicalite 14 0%
#12877 borneodata/borneodp/borneodp 14 0%
#12878 homebrew/linux-fonts/font-geist-mono-nerd-font 14 0%
#12879 cosmostat/science/sparse2d 14 0%
#12880 flipperdevices/flipper/libusb_universal 14 0%
#12881 build 14 0%
#12882 brewsci/bio/nanopolish 14 0%
#12883 italolelis/reachable/reachable 14 0%
#12884 libglade 14 0%
#12885 slp/krun/buildah 14 0%
#12886 vultureprime/float16/float16-cli 14 0%
#12887 shivammathur/extensions/ast@7.4 14 0%
#12888 libnsbmp 14 0%
#12889 bjasspa/jasspa/microemacs-macros 14 0%
#12890 guilhem/tap/bump 14 0%
#12891 cajias/tools/shell-settings 14 0%
#12892 shivammathur/extensions/ast@8.3 14 0%
#12893 jrmastermodelbuilder/swftools/swftools-dev 14 0%
#12894 jag-k/tap/git-raycast 14 0%
#12895 caarlos0/tap/fork-cleaner 14 0%
#12896 spiceai/spiceai/spiced 14 0%
#12897 krancour/tap/kargo 14 0%
#12898 dekker1/minizinc/choco 14 0%
#12899 druagoon/brew/dotf 14 0%
#12900 manelatun/bottles/pcre2 14 0%
#12901 silesky/tap/node-run 14 0%
#12902 manticoresoftware/tap-rc/manticore-extra 14 0%
#12903 macmade/tap/macho 14 0%
#12904 bugloper/seli.git/seli 14 0%
#12905 kanya-approve/extras/unfs3 14 0%
#12906 aconchillo/guile/nyacc 14 0%
#12907 wernerturing/multi-delogo/multi-delogo 14 0%
#12908 bowtie-json-schema/tap/bowtie 14 0%
#12909 brewsci/bio/nextclade 14 0%
#12910 shivammathur/extensions/zstd@8.3 14 0%
#12911 shivammathur/extensions/event@8.0 14 0%
#12912 mistydemeo/formulae/nuked-sc55 14 0%
#12913 kazuakiyama/sched/sched 14 0%
#12914 cartman-kai/thrift/thrift@0.9 14 0%
#12915 kevemueller/ksysroot/ksysroot_arm-linux-gnueabihf 14 0%
#12916 benny-n/toy/toy 14 0%
#12917 modworm/modworm/soil 14 0%
#12918 jaytyrrell13/tap/pal 14 0%
#12919 drin/hatchery/duckdb-skytether 14 0%
#12920 zeroc-ice/tap/ice@3.6 14 0%
#12921 caraveo/aske/aske 14 0%
#12922 dlemper/forensictools/aff4-cpp-lite 14 0%
#12923 nicerloop/nicerloop/webarchiveextractor 14 0%
#12924 mjr19-c2x/c2x/c2x 14 0%
#12925 pow-software/bytesync/bytesync 14 0%
#12926 brewsci/bio/wfa2-lib 14 0%
#12927 tgotwig/linux-vidmerger/vidmerger 14 0%
#12928 pts/utils/pdfsizeopt 14 0%
#12929 shivammathur/extensions/pdo_sqlsrv@8.0 14 0%
#12930 appleboiy/tap/csenv 14 0%
#12931 bell-sw/liberica/liberica-jdk@21-full 14 0%
#12932 easytocloud/tap/aws-profile-organizer 14 0%
#12933 akuity/tap/kargo 14 0%
#12934 dotnet/dev-proxy/dev-proxy 14 0%
#12935 kevin-btc/pico-tts/pico-tts 14 0%
#12936 btb/open-watcom/open-watcom-v2 14 0%
#12937 brewforge/chinese/makemf 14 0%
#12938 manelatun/bottles/mpdecimal 14 0%
#12939 maelvls/amc/amc-pango 14 0%
#12940 python-setuptools-scm 14 0%
#12941 homebrew/linux-fonts/font-roboto-mono-nerd-font 14 0%
#12942 min 14 0%
#12943 dvd-vr 14 0%
#12944 hazelcast/hz/hazelcast-management-center@5.4.0 14 0%
#12945 peakefficiency/releases/warp-diag-checker 14 0%
#12946 na-trium-144/webcface/webcface@1 14 0%
#12947 rgxg 14 0%
#12948 dhth/tap/act3 14 0%
#12949 ats2-postiats 14 0%
#12950 fortio/tap/logc 14 0%
#12951 chatz 14 0%
#12952 winebarrel/cronplan/cronplan 14 0%
#12953 ul/kak-lsp/kakoune-lsp 14 0%
#12954 graelo/tap/podsync 14 0%
#12955 nsdg 14 0%
#12956 manelatun/bottles/cmake 14 0%
#12957 interlynk-io/interlynk/sbomgr 14 0%
#12958 kabel/php-ext/php-oci8 14 0%
#12959 s-okayama/cloudsql/cloudsql 14 0%
#12960 portalbox-app/tap/portalbox 14 0%
#12961 gromgit/fuse/tup-mac 14 0%
#12962 aconchillo/guile/fibers 14 0%
#12963 nicerloop/nicerloop/jailer 14 0%
#12964 rodionovd/taps/shortcuts 14 0%
#12965 eso/pipelines/esopipe-spher-demo 14 0%
#12966 jellycuts/formulae/jelly 14 0%
#12967 brewsci/bio/fetk 14 0%
#12968 napisani/procmux/procmux 14 0%
#12969 saibit-tech/tap/ogc-cli 14 0%
#12970 danpashin/repo/libui 14 0%
#12971 cresplanex/tap/bloader 14 0%
#12972 ranjandas/shikari/shikari 14 0%
#12973 px4/px4/gcc-arm-none-eabi-49 14 0%
#12974 shivammathur/extensions/imagick@8.5 14 0%
#12975 snowflake-labs/snowcli/snowflake-cli 14 0%
#12976 quantonganh/tap/snippets-ls 14 0%
#12977 ktx 14 0%
#12978 brewsci/bio/jmol 14 0%
#12979 openconnect-gui-git 14 0%
#12980 kristiinara/swiftdependencychecker/swiftdependencychecker 14 0%
#12981 micronucleus 14 0%
#12982 kha7iq/tap/pingme 14 0%
#12983 nfs-ganesha 14 0%
#12984 fortio/tap/fps 14 0%
#12985 timothyye/tap/glance 14 0%
#12986 riesenia/utils/switch-php 14 0%
#12987 dash2701/dash2701/chatgptdash 14 0%
#12988 netskopeplatformeng/pe/nsk 14 0%
#12989 alexdavid/tap/git-branch-status 14 0%
#12990 pawncc 14 0%
#12991 mgrebenets/scan-build/scan-build 14 0%
#12992 eso/pipelines/esopipe-cr2re 14 0%
#12993 brewsci/bio/foldseek 14 0%
#12994 openresty/brew/openresty-openssl3 14 0%
#12995 snowflakedb/snowflake-cli/snowcli 14 0%
#12996 brewforge/chinese/ollamaplist 13 0%
#12997 sondr3/taps/git-ignore 13 0%
#12998 shivammathur/extensions/mailparse@8.0 13 0%
#12999 ratarmount 13 0%
#13000 digitalspacestdio/php/php56-msmtp 13 0%
#13001 say4n/tap/jf 13 0%
#13002 ayakovlenko/tools/zit 13 0%
#13003 sidneys/homebrew/imagemagick 13 0%
#13004 koriym/malt/malt 13 0%
#13005 hitblast/tap/cutler 13 0%
#13006 shivammathur/extensions/vld@7.1 13 0%
#13007 santiagomed/tap/boil 13 0%
#13008 man-pages-posix 13 0%
#13009 marvin-klein/tap/loudgain 13 0%
#13010 gobo 13 0%
#13011 bitrise-io/den-agent/bitrise-den-agent 13 0%
#13012 chenrui333/tap/hello 13 0%
#13013 flipperdevices/flipper/qt_universal 13 0%
#13014 ntsd/cross-clipboard/cross-clipboard 13 0%
#13015 raw-packet/raw-packet/raw-packet 13 0%
#13016 tizee/personal/aseprite 13 0%
#13017 shivammathur/extensions/gnupg@8.4 13 0%
#13018 wishabi/flipp/global_docker_compose 13 0%
#13019 homebrew/linux-fonts/font-droid-sans-mono-nerd-font 13 0%
#13020 mcdallas/gert/gert 13 0%
#13021 openrtm/openrtm2/openrtm2-py310 13 0%
#13022 homebrew/linux-fonts/font-iosevka-aile 13 0%
#13023 cdrussell/aarb/aarb 13 0%
#13024 microsoft/mssql-tools/mssql-tools 13 0%
#13025 spoof-dpi 13 0%
#13026 brewsci/bio/ntlink 13 0%
#13027 yugabyte/tap/yb-voyager@1.7.2 13 0%
#13028 wendigo/tap/chrome-protocol-proxy 13 0%
#13029 tuzi3040/tap/googler 13 0%
#13030 yann-r/canettes/eclipse-clp 13 0%
#13031 aconchillo/guile/guile-mqtt 13 0%
#13032 marcy326/tap/tfvarenv 13 0%
#13033 jamebus/tools/pagpapanatili 13 0%
#13034 serasset/tap/dbnary 13 0%
#13035 browningluke/tap/mangathr 13 0%
#13036 gocardless/taps/utopia 13 0%
#13037 akino777/keycd/keycd 13 0%
#13038 trentm/tap/ecslog 13 0%
#13039 shivammathur/extensions/igbinary@7.0 13 0%
#13040 shivammathur/extensions/expect@8.3 13 0%
#13041 arisnacg/cli/laboon 13 0%
#13042 shivammathur/extensions/pcov@7.1 13 0%
#13043 avimetaedit 13 0%
#13044 ohsu-comp-bio/formula/funnel 13 0%
#13045 osx-cross/arm/arm-gcc-bin@9 13 0%
#13046 hikoyu/shogi/fukauraou 13 0%
#13047 tideways/profiler/tideways-php@8.2 13 0%
#13048 mobile-dev-inc/tap/maestro@1.39-dev.2 13 0%
#13049 austriacard/acdislinux/acdislinux 13 0%
#13050 manelatun/bottles/mpfr 13 0%
#13051 spruce 13 0%
#13052 atlassian/tap/atlassian-plugin-sdk62 13 0%
#13053 artemeon/php/php@8.2 13 0%
#13054 spotify/public/gcs-parquet-tools 13 0%
#13055 manelatun/bottles/go 13 0%
#13056 shivammathur/extensions/gearman@7.2 13 0%
#13057 jrmastermodelbuilder/swftools/swftools-head 13 0%
#13058 davidledwards/ped/ped 13 0%
#13059 cestef/tap/braise 13 0%
#13060 chatwithcloud/tap/chat-with-cloud 13 0%
#13061 vc4asm 13 0%
#13062 libdatrie 13 0%
#13063 shivammathur/extensions/uuid@8.1 13 0%
#13064 gcc@5 13 0%
#13065 cqlabs/dcm/dcm@1.19.2 13 0%
#13066 louislef299/aws-sso/aws-sso 13 0%
#13067 xwmx/taps/pb 13 0%
#13068 conversence/conversencetaps/postgresql_plpy@17 13 0%
#13069 wootingkb/wooting/wooting-analog-sdk 13 0%
#13070 mgartner/tap/pg_flame 13 0%
#13071 zeromake/docker-debug/docker-debug 13 0%
#13072 delorenj/touchymcrootface/touchymcrootface 13 0%
#13073 guardian/devtools/ssm 13 0%
#13074 gr-osmosdr 13 0%
#13075 fabernovel/formulae/hfactory 13 0%
#13076 icecube/icecube/multinest 13 0%
#13077 int128/tap/kubectl-external-forward 13 0%
#13078 dtellz/daily/daily 13 0%
#13079 rootlyhq/tap/rootly 13 0%
#13080 shivammathur/extensions/phalcon5@8.0 13 0%
#13081 cybertk/formulae/launchd-oneshot 13 0%
#13082 spice-server 13 0%
#13083 icu4c@73 13 0%
#13084 vincentjames501/tap/codeowners-cli 13 0%
#13085 austinjones/taps/tab 13 0%
#13086 stychos/angie/angie 13 0%
#13087 testthing76macarm64 13 0%
#13088 python-kiwisolver 13 0%
#13089 selinafinance-poc/selinapoc/jx-selina 13 0%
#13090 niluje/kindletool/libarchive-git 13 0%
#13091 glassflow/tap/glassflow 13 0%
#13092 shivammathur/extensions/apcu@5.6 13 0%
#13093 omerien/ytarchive/ytarchive-dev 13 0%
#13094 composegears/repo/valkyrie 13 0%
#13095 akirakyle/qemu-virgl/qemu-virgl 13 0%
#13096 gisogrimm/tap/tascar 13 0%
#13097 cxwx/cxbrew/mcmc 13 0%
#13098 shivammathur/extensions/imap-uw 13 0%
#13099 hlship/brew/dialog-tool 13 0%
#13100 malleefoul/etc/qtspell 13 0%
#13101 dustinwilson/tap/dbt-all 13 0%
#13102 pothosware/pothos/soapysdr 13 0%
#13103 dlr-ts/sumo/sumo@1.0.1 13 0%
#13104 manticoresoftware/tap-rc/manticore-language-packs 13 0%
#13105 mongodb/brew/mongodb-enterprise@6.0 13 0%
#13106 nickthecook/crops/ops 13 0%
#13107 tommy-muehle/tap/mnd 13 0%
#13108 sergioribera/tap/sss_code 13 0%
#13109 digitalspacestdio/common/libpq@16.2-icu4c.74.2 13 0%
#13110 rosco-m68k/toolchain/vasm-m68k 13 0%
#13111 smtzdczdq/ffmpeg/ffmpeg-skyzyx 13 0%
#13112 shivammathur/extensions/expect@7.2 13 0%
#13113 brewsci/bio/samclip 13 0%
#13114 manelatun/bottles/swig 13 0%
#13115 aikrice/badgetizr/badgetizr 13 0%
#13116 valentin-shatov/prosody/lua@5.1 13 0%
#13117 vapor/tap/toolbox 13 0%
#13118 gap 13 0%
#13119 manelatun/bottles/glib 13 0%
#13120 pawk 13 0%
#13121 clang-format@8 13 0%
#13122 slp/krunkit/molten-vk-krunkit 13 0%
#13123 digitalspacestdio/common/imagemagick6 13 0%
#13124 shivammathur/extensions/expect@8.1 13 0%
#13125 hckr 13 0%
#13126 dhth/tap/kplay 13 0%
#13127 jlp04/homebrew/libticables 13 0%
#13128 feeluown/feeluown/feeluown 13 0%
#13129 ensembl/external/exonerate09 13 0%
#13130 aj-may/dotdocker/dotdocker 13 0%
#13131 oven-sh/bun/bun@1.1.7 13 0%
#13132 linuxsuren/linuxsuren/hd 13 0%
#13133 mesa-asahi 13 0%
#13134 ginac@1.8.7 13 0%
#13135 koki-develop/tap/docker-tags 13 0%
#13136 priyabratamo/taps/currency 13 0%
#13137 abhinav/tap/doc2go 13 0%
#13138 microsoft/msodbcsql/msodbcsql 13 0%
#13139 ctdk/ctdk/bsdgames-osx 13 0%
#13140 norwik/tools/goenv 13 0%
#13141 createrepo_c 13 0%
#13142 macoscontainers/formula/rund 13 0%
#13143 zegl/tap/git-linearize 13 0%
#13144 sbdchd/macchanger/macchanger 13 0%
#13145 udp-redirect 13 0%
#13146 zero-sh/tap/zero 13 0%
#13147 digitalspacestdio/php/php81-imagick 13 0%
#13148 valentin-shatov/prosody/prosody 13 0%
#13149 dikako/app-store-connect/get_app 13 0%
#13150 ceejbot/tap/tomato 13 0%
#13151 homebrew/linux-fonts/font-monofur-nerd-font 13 0%
#13152 aklivity/tap/zillabase 13 0%
#13153 laradumps/app/laradumps 13 0%
#13154 sherylynn/emacsx11/emacsx11@29 13 0%
#13155 shivammathur/extensions/memcache@5.6 13 0%
#13156 shivammathur/extensions/amqp@7.2 13 0%
#13157 squach90/quickstart/quickstart 13 0%
#13158 kabel/pecl/php@8.1-xdebug 13 0%
#13159 waynezhang/tap/toyskkserv 13 0%
#13160 ecmwf/ecmwf/eckit 13 0%
#13161 raxigan/tap/pcfy-my-mac 13 0%
#13162 benny93/kafui/kafui 13 0%
#13163 shivammathur/extensions/msgpack@7.0 13 0%
#13164 brewsci/bio/phylip 13 0%
#13165 taylorwilsdon/tap/reclaimed 13 0%
#13166 brewsci/bio/miniasm 13 0%
#13167 brewsci/science/mathgl 13 0%
#13168 satanicantichrist/satanicantichrist/papertool 13 0%
#13169 riscv32-elf-newlib 13 0%
#13170 angle 13 0%
#13171 digitalspacestdio/common/libxslt@1.10-icu4c.74.2 13 0%
#13172 ivangreene/keymap/keymap 13 0%
#13173 trashhalo/brews/imgcat 13 0%
#13174 pipekit/tap/cli 13 0%
#13175 itchyny/tap/json2yaml 13 0%
#13176 libnetfilter-queue 13 0%
#13177 afonsofrancof/taps/biber@2.17 13 0%
#13178 jphastings/tools/postcards 13 0%
#13179 shivammathur/extensions/vips@8.2 13 0%
#13180 libxsd-frontend 13 0%
#13181 portolanetwork/portola/portola.app 13 0%
#13182 shivammathur/extensions/pcov@7.3 13 0%
#13183 mynav 13 0%
#13184 shivammathur/extensions/pcov@7.2 13 0%
#13185 frankenphp 13 0%
#13186 szampier/pipelines/kmos 13 0%
#13187 flomesh-io/pipy/pipy 13 0%
#13188 gocardless/taps/anu 13 0%
#13189 fetch-crl 13 0%
#13190 kryptco/tap/kr 13 0%
#13191 contentauth/tools/c2patool 13 0%
#13192 chenrui333/tap/surgeon 13 0%
#13193 shivammathur/extensions/vips@7.4 13 0%
#13194 shivammathur/php/autoconf@2.69 13 0%
#13195 shivammathur/extensions/msgpack@7.3 13 0%
#13196 tudelft3d/software/pprepair 13 0%
#13197 bbenchen/emacs-plus/emacs-plus@29 13 0%
#13198 daff 13 0%
#13199 josh/tap/tmux-catppuccin 13 0%
#13200 gersemi 13 0%
#13201 brewsci/bio/snp-dists 13 0%
#13202 brewsci/bio/faqcs 13 0%
#13203 withgraphite/tap/graphite-alpha 13 0%
#13204 shivammathur/extensions/vld@5.6 13 0%
#13205 samadipour/bonbast/bonbast 13 0%
#13206 boringtools/tap/git-alerts 13 0%
#13207 terraform-iam-policy-validator 13 0%
#13208 sethrfore/r-srf/tcl-tk-x11 13 0%
#13209 shivammathur/extensions/vld@8.3 13 0%
#13210 buildkite/buildkite/test-splitter 13 0%
#13211 tomcat@7 13 0%
#13212 tenfyzhong/tap/gg 13 0%
#13213 mcrepeau/cbportal/cbportal 13 0%
#13214 brewsci/bio/germline2 13 0%
#13215 hazcod/hazcod/maclaunch 13 0%
#13216 moonfruit/tap/impl 13 0%
#13217 bill-boys/tap/billing-cli 13 0%
#13218 pamburus/tap/termframe 13 0%
#13219 confluentinc/tap/cli-fips 13 0%
#13220 denji/nginx/openresty 13 0%
#13221 hughbien/tap/mark 13 0%
#13222 domoritz/tap/json2arrow 13 0%
#13223 seamus-sloan/tools/ss3 13 0%
#13224 flite 13 0%
#13225 mobile-dev-inc/tap/idb-companion 13 0%
#13226 homebrew/cask/hugo@0.136.4 13 0%
#13227 nedap/versions/gettext@0.20.2 13 0%
#13228 ottijp/tap/pdf-r2l 12 0%
#13229 seifrajhi/jet-pilot/jet-pilot 12 0%
#13230 digitalspacestdio/common/libxml2 12 0%
#13231 openpubkey/opkssh/opkssh 12 0%
#13232 brimdata/tap/super 12 0%
#13233 nao1215/tap/sqly 12 0%
#13234 sersniff 12 0%
#13235 letsdebug 12 0%
#13236 jhotmann/rename-cli/rename-cli 12 0%
#13237 openresty/brew/openresty-openssl111 12 0%
#13238 bakks/bakks/poptop 12 0%
#13239 shivammathur/extensions/ds@8.0 12 0%
#13240 openresty/brew/lua-nginx-module 12 0%
#13241 brewsci/bio/parasail 12 0%
#13242 sherpalabsio/sherpalabsio/local_sherpa 12 0%
#13243 mpgtx 12 0%
#13244 johanvdhaegen/tools/keep-sorted 12 0%
#13245 quantonganh/tap/helix-wezterm 12 0%
#13246 kyleburton/kyleburton/bake 12 0%
#13247 cloud-gov/cloudgov/cg-manage-rds 12 0%
#13248 sidaf/pentest/empire 12 0%
#13249 astronomer/tap/astro@0.28.1 12 0%
#13250 tmc/tap/cgpt 12 0%
#13251 abuchanan-airbyte/tap/abctl 12 0%
#13252 twilio-internal/tap/kubectl-otk 12 0%
#13253 vfuse 12 0%
#13254 redis-tools 12 0%
#13255 peterldowns/tap/nix-search-cli 12 0%
#13256 catesandrew/tap/libgccjit 12 0%
#13257 on4kjm/tap/flecli 12 0%
#13258 sidaf/pentest/sec_lists 12 0%
#13259 tavianator/tap/bfs 12 0%
#13260 jlp04/homebrew/libtifiles 12 0%
#13261 isometry/tap/ghait 12 0%
#13262 chenrui333/tap/box 12 0%
#13263 cockroachdb/tap/cockroach@24.1 12 0%
#13264 zmarcantel/libsoil/libsoil 12 0%
#13265 bitdowntoc 12 0%
#13266 wenjunxiao/brew/docker-accessor 12 0%
#13267 eso/pipelines/esopipe-naco-recipes 12 0%
#13268 flamegrep 12 0%
#13269 fernandotcl/fernandotcl/monkeys-audio 12 0%
#13270 digitalspacestdio/common/libxslt@1.10-icu4c.69.1 12 0%
#13271 manelatun/bottles/berkeley-db@5 12 0%
#13272 gautamkrishnar/socli/socli 12 0%
#13273 digitalspacestdio/common/mcrypt@2.6 12 0%
#13274 dantheman0207/retrier/retrier 12 0%
#13275 defenseunicorns/tap/uds@0.10.0 12 0%
#13276 sishir2001/brewery/pinrex 12 0%
#13277 shivammathur/extensions/vld@7.0 12 0%
#13278 mvtiaine/repo/audacious-uade 12 0%
#13279 arjancodes/core/bragir 12 0%
#13280 vincemann/repo/subtitle-buddy-dev-linux 12 0%
#13281 janhq/cortexso/cortexso 12 0%
#13282 magic-cli 12 0%
#13283 riiid/riiid/toolbelt 12 0%
#13284 eso/pipelines/esopipe-isaac-recipes 12 0%
#13285 wyne/tap/fasd 12 0%
#13286 digitalspacestdio/nextgen-devenv/digitalspace-mailhog 12 0%
#13287 c3-e/tools/yq@3 12 0%
#13288 eso/pipelines/esopipe-kmos 12 0%
#13289 pixee/pixee/pixee 12 0%
#13290 cesanta/mos/mos-latest 12 0%
#13291 takesako/sigrok/pulseview 12 0%
#13292 rubysolo/tools/brows 12 0%
#13293 brewsci/bio/gemma 12 0%
#13294 emeryberger/scalene/scalene 12 0%
#13295 shivammathur/extensions/expect@7.4 12 0%
#13296 shivammathur/extensions/expect@8.0 12 0%
#13297 dart-lang/dart/dart@2.14 12 0%
#13298 s00d/rocksdbserver/rocksdb_server 12 0%
#13299 sixafter/tap/nanoid 12 0%
#13300 skyamgarp/puppet/openssl@1.1 12 0%
#13301 donngi/awsmfa/awsmfa 12 0%
#13302 cleishm/neo4j/libcypher-parser 12 0%
#13303 brotherbui/homebrew/go 12 0%
#13304 pixovr/pixo-golang-clients/pixo-cli 12 0%
#13305 xiote/mytap/opencv@4.10.0 12 0%
#13306 tth 12 0%
#13307 aprilsh 12 0%
#13308 brewsci/bio/nonpareil 12 0%
#13309 venafi/tap/sigscan 12 0%
#13310 homebrew/linux-fonts/font-recursive-mono-nerd-font 12 0%
#13311 shivammathur/extensions/ast@8.1 12 0%
#13312 dkyanakiev/tap/vaul7y 12 0%
#13313 mkw/mkw/valkey@7.2.6 12 0%
#13314 tueda/form/form 12 0%
#13315 sfst 12 0%
#13316 jackhamilton/sass/sass 12 0%
#13317 sandstorm/tap/drydock 12 0%
#13318 szampier/pipelines/muse 12 0%
#13319 clyso/tap/chorctl 12 0%
#13320 dart-lang/dart/dart@2.0 12 0%
#13321 schenk/barcode/activebarcodecli 12 0%
#13322 lucas-albers-lz4/python-m1/python@3.12.7 12 0%
#13323 dhth/tap/mult 12 0%
#13324 rcmdnk/rcmdnkpac/sentaku 12 0%
#13325 digitalspacestdio/php/php74-imagick 12 0%
#13326 devops-rob/tap/target 12 0%
#13327 sunshinejr/formulae/pouch 12 0%
#13328 doprz/dipc/dipc 12 0%
#13329 nikaro/tap/kubeclarity-cli 12 0%
#13330 codygarver/core/ffmpeg 12 0%
#13331 scnt-puq 12 0%
#13332 tankerhq/repo/ruplacer 12 0%
#13333 reop 12 0%
#13334 postgresql@9.6 12 0%
#13335 jamebus/tools/ayusin 12 0%
#13336 olets/tap/zsh-window-title 12 0%
#13337 kattouf/sake/sake 12 0%
#13338 colossyan/tap/cde 12 0%
#13339 release-tools/tap/since 12 0%
#13340 digzyme/third-party-tools/uchime 12 0%
#13341 n-ngm/tap/git-ai-commit 12 0%
#13342 manelatun/bottles/zstd 12 0%
#13343 ruby@2.6 12 0%
#13344 chenrui333/tap/ni 12 0%
#13345 cdalar/tap/onctl-unstable 12 0%
#13346 gerritforge/gerrit/gerrit 12 0%
#13347 simonwhitaker/tap/runny 12 0%
#13348 fahasch/formulae/gsdjvu 12 0%
#13349 chenrui333/tap/projectable 12 0%
#13350 homebrew/linux-fonts/font-hack 12 0%
#13351 backbase/m/variants@1.2.1 12 0%
#13352 simnalamburt/x/uniqs 12 0%
#13353 guardrailsio/guardrails/guardrails 12 0%
#13354 linuxbrew/fonts/font-fira-code-nerd-font 12 0%
#13355 cliutils/apple/libomp 12 0%
#13356 greptimeteam/greptime/gtctl 12 0%
#13357 shmux 12 0%
#13358 chronoctl 12 0%
#13359 interlynk-io/interlynk/sbomex 12 0%
#13360 digitalspacestdio/common/gettext@0.22-icu4c.73.2 12 0%
#13361 iculturebud/tap/cwf 12 0%
#13362 digitalspacestdio/common/libxml2@2.12-icu4c.69.1 12 0%
#13363 podiff 12 0%
#13364 parkerlab/tap/ataqv 12 0%
#13365 tgtakaoka/mspgcc/gdb-msp430 12 0%
#13366 chenrui333/tap/jetzig 12 0%
#13367 pkgxdev/made/dev 12 0%
#13368 na-trium-144/webcface/eventpp 12 0%
#13369 webalizer 12 0%
#13370 vmsimon/tap/cloudctl 12 0%
#13371 guiguem/tap/midas 12 0%
#13372 cdalar/tap/onctl 12 0%
#13373 cdzombak/oss/runner 12 0%
#13374 yuta0306/poco/poco 12 0%
#13375 szampier/pipelines/hawki-calib 12 0%
#13376 carlosedp/tap/sshoot 12 0%
#13377 manticoresoftware/tap/manticore-language-packs 12 0%
#13378 eso/pipelines/molecfit_third_party 12 0%
#13379 hamstergene/tap/symbolicate-crash 12 0%
#13380 syntaqx/tap/serve 12 0%
#13381 meshde/hit/hit 12 0%
#13382 mrtazz/oss/restclient-cpp 12 0%
#13383 chiissu/macchiato/v2d 12 0%
#13384 shkm/brew/vssh 12 0%
#13385 cytopia/tap/mysqldump-secure 12 0%
#13386 digitalspacestdio/nextgen-devenv/digitalspace-traefik 12 0%
#13387 brewsci/science/tisean 12 0%
#13388 tditlu/amiga/vasm 12 0%
#13389 ameshkov/tap/godnsbench 12 0%
#13390 deref/tap/exo 12 0%
#13391 mgitlog 12 0%
#13392 bee-headers/bee-headers/bee-headers 12 0%
#13393 norwoodj/tap/bastion-pod-ctl 12 0%
#13394 shivammathur/extensions/couchbase@7.4 12 0%
#13395 risingwavelabs/risingwave/risingwave@1.7-standalone 12 0%
#13396 seamstress@2 12 0%
#13397 appveyor/brew/appveyor-build-agent 12 0%
#13398 cirruslabs/cli/otelcol-contrib 12 0%
#13399 veelenga/tap/ameba 12 0%
#13400 bazelbuild/tap/bazel@6.2.0 12 0%
#13401 brotherbui/homebrew/ordx 12 0%
#13402 digitalspacestdio/nextgen-devenv/digitalspace-allutils 12 0%
#13403 dart-lang/dart/dart@3.7.2 12 0%
#13404 mrge-io/tap/mg 12 0%
#13405 humbug/box/box 12 0%
#13406 leschekhomann/qemu_7.2.0/qemu 12 0%
#13407 homerours/tap/jumper 12 0%
#13408 dbacl 12 0%
#13409 dwatch 12 0%
#13410 trt-ml-upload 12 0%
#13411 brewsci/science/bayestraits 12 0%
#13412 octave-app/octave-app/qt-octapp_5 12 0%
#13413 dart-lang/dart/dart@3.0.5 12 0%
#13414 homebrew/linux-fonts/font-fira-sans 12 0%
#13415 rlue/utils/timer 12 0%
#13416 zelnox/zelnox/postgis@3.1.4 12 0%
#13417 simonw/llm/strip-tags 12 0%
#13418 mhanberg/tap/lazyasdf 12 0%
#13419 alexpasmantier/television/television 12 0%
#13420 yihui/tinytex/tinytex 12 0%
#13421 infisical/get-cli/infisical@0.18.3 12 0%
#13422 oven-sh/bun/bun@1.1.10 12 0%
#13423 nativeos/i386-elf-toolchain/i386-elf-gcc@11.2 12 0%
#13424 skia 12 0%
#13425 brewsci/bio/fasta 12 0%
#13426 roboticslibrary/rl/rl 12 0%
#13427 deckrun/deck-cli/deck 12 0%
#13428 digitalspacestdio/php/php80-yaml 12 0%
#13429 digitalspacestdio/php/php82-rdkafka 12 0%
#13430 drgrib/tap/ttimer 12 0%
#13431 shivammathur/extensions/vips@8.1 12 0%
#13432 dev-red07/testcli/test-cli 12 0%
#13433 noborus/trdsql/trdsql 12 0%
#13434 gildas/tap/bitbucket-cli 12 0%
#13435 tombener/tap/bookget 12 0%
#13436 bradyjoslin/sharewifi/sharewifi 12 0%
#13437 brewsci/science/superlu_dist 12 0%
#13438 the-quantum-engineering-guild/hello/hello-al 12 0%
#13439 homebrew/linux-fonts/font-dejavu-sans-mono-nerd-font 12 0%
#13440 pete911/tap/kubectl-image 12 0%
#13441 shivammathur/extensions/gnupg@8.1 12 0%
#13442 tuist/tuist/tuist@3.41.0 12 0%
#13443 twostraws/brew/appletime 12 0%
#13444 ilijamt/tap/vht 12 0%
#13445 tnishinaga/sigrok/sigrok-firmware-fx2lafw 12 0%
#13446 microsoft/mssql-release/mssql-tools@17.10.1.1 12 0%
#13447 bazelbuild/tap/bazel@5.0.0 12 0%
#13448 sorairolake/tap/gb3sum 12 0%
#13449 seclists 12 0%
#13450 cpp-peglib 12 0%
#13451 codemakerai/tap/codemaker-cli 12 0%
#13452 brewsci/bio/newick-utils 12 0%
#13453 farhankaz/tap/llx 12 0%
#13454 msuchane/repo/newdoc 12 0%
#13455 mikkurogue/mikkurogue/dagger 12 0%
#13456 epartment/roll/roll 12 0%
#13457 digitalspacestdio/common/libxml2@2.12-icu4c.73.2 12 0%
#13458 dlr-ts/sumo/sumo@1.9.2 12 0%
#13459 shengchangyang/outdated/cocoapods@1.14.3 12 0%
#13460 oven-sh/bun/bun@1.1.22 12 0%
#13461 digitalspacestdio/common/libxml2@2.12-icu4c.74.2 12 0%
#13462 digitalspacestdio/common/libxml2@2.9-icu4c.69.1 12 0%
#13463 hirokazuk/tap/stashapp 12 0%
#13464 unitystevehe/opensslat11/openssl@1.1 12 0%
#13465 fever-ch/tap/http-ping 12 0%
#13466 glennakamura/repo/bash 12 0%
#13467 shivammathur/extensions/rdkafka@8.5 12 0%
#13468 pachyderm/tap/pachctl@2.7 12 0%
#13469 dream11/tools/streams 12 0%
#13470 ankitsharma26/tools/iwf-server 12 0%
#13471 argoproj/tap/kubectl-argo-rollouts@1.2 12 0%
#13472 sf100linux 12 0%
#13473 homebrew/linux-fonts/font-code-new-roman-nerd-font 12 0%
#13474 oven-sh/bun/bun@1.2.0 12 0%
#13475 gnames/gn/gnfinder 12 0%
#13476 obsproject/tools/clang-format@18 12 0%
#13477 edgarcosta/science/ff_poly 12 0%
#13478 jondotsoy/core/q 12 0%
#13479 brandt/personal/openconnect-keychain 12 0%
#13480 zeroc-ice/tap/ice-builder-xcode 12 0%
#13481 emeryberger/hoard/libhoard 12 0%
#13482 infisical/get-cli/infisical@0.24.0 11 0%
#13483 goto/taps/optimus 11 0%
#13484 thundery 11 0%
#13485 xavidop/tap/cxcli 11 0%
#13486 fsw 11 0%
#13487 twitchdownloader 11 0%
#13488 brewsci/bio/tigmint 11 0%
#13489 shivammathur/extensions/v8js@7.4 11 0%
#13490 knight42/tap/kopilot 11 0%
#13491 david0/audio/lv2vst 11 0%
#13492 davidchall/hep/yoda 11 0%
#13493 akidon0000/isimcameratool/isimcameratool 11 0%
#13494 cargo-sort 11 0%
#13495 avoidik/qemu-spice/qemu-spice 11 0%
#13496 damenly/virt-manager/virt-manager 11 0%
#13497 navanchauhan/tap/autodock-vina 11 0%
#13498 numeroai/numero/dynamodb-local 11 0%
#13499 shivammathur/extensions/psr@8.4 11 0%
#13500 decompose 11 0%
#13501 fibers 11 0%
#13502 brewsci/bio/fpocket 11 0%
#13503 digitalspacestdio/php/php83-yaml 11 0%
#13504 digitalspacestdio/common/libpq@16.2-icu4c.73.2 11 0%
#13505 servehub/tap/sbus-cli 11 0%
#13506 youyo/gaws/gaws 11 0%
#13507 opt-nc/tap/mobitag 11 0%
#13508 deindent 11 0%
#13509 mdtslog 11 0%
#13510 txtx/taps/txtx 11 0%
#13511 tuist/tuist/tuist@3.21.0 11 0%
#13512 thomaspoignant/tap/go-feature-flag-cli 11 0%
#13513 charmbracelet/tap/markscribe 11 0%
#13514 romanschejbal/tap/syncbox 11 0%
#13515 brewsci/bio/kmergenie 11 0%
#13516 fnstruct/tap/airdrop-cli 11 0%
#13517 phospho-app/phosphobot/phosphobot 11 0%
#13518 pipemeter 11 0%
#13519 romshark/tools/gqlhash 11 0%
#13520 eso/pipelines/esopipe-vcam-recipes 11 0%
#13521 flypenguin/okta-cli/okta-cli 11 0%
#13522 mehdichaouch/airport-bssid/airport-bssid 11 0%
#13523 miromannino/tap/git-import-contributions 11 0%
#13524 eso/pipelines/esopipe-vimos-recipes 11 0%
#13525 http-parser 11 0%
#13526 manticoresoftware/tap-rc/manticoresearch 11 0%
#13527 miruo 11 0%
#13528 shivammathur/extensions/gearman@8.0 11 0%
#13529 eso/pipelines/esopipe-midi-recipes 11 0%
#13530 tonyfettes/moonbit/moonbit 11 0%
#13531 scute 11 0%
#13532 io41/tap/rmapi 11 0%
#13533 wy60 11 0%
#13534 shivammathur/extensions/opentelemetry@8.1 11 0%
#13535 mbode/tap/terraform-state-mover 11 0%
#13536 gork74/gork74/aws-parameter-bulk 11 0%
#13537 streamingfast/tap/firehose-solana 11 0%
#13538 eclipse-zenoh/zenoh/zenoh-plugin-rest 11 0%
#13539 delineaxpm/tap/dsv-cli 11 0%
#13540 valhalla/valhalla/prime_server 11 0%
#13541 microsoft/mssql-preview/mssql-tools 11 0%
#13542 jzbrooks/repo/vgo 11 0%
#13543 vobsub2srt 11 0%
#13544 eso/pipelines/esopipe-muse 11 0%
#13545 syaeful16/taps/gohack 11 0%
#13546 tinted-theming/tinted/tinted-builder-rust 11 0%
#13547 tuist/tuist/tuist@4.2.0 11 0%
#13548 brad-x/custom/powerline-status 11 0%
#13549 bigcommerce/choria/choria 11 0%
#13550 shivammathur/extensions/vld@8.0 11 0%
#13551 ombrac/tap/ombrac 11 0%
#13552 idleberg/tap/dbxcli 11 0%
#13553 digitalspacestdio/common/libpq@16.2-icu4c.72.1 11 0%
#13554 navicatgithub/onpremserver-2/navicatonpremserver2 11 0%
#13555 engali94/formulae/xmljson 11 0%
#13556 borglab/core/gtsam4.2 11 0%
#13557 shivammathur/extensions/protobuf@7.4 11 0%
#13558 shivammathur/extensions/pdo_sqlsrv@8.5 11 0%
#13559 georgemcarlson/ffmpeg/ffmpeg 11 0%
#13560 thezeroalpha/formulae/ncmpcpp 11 0%
#13561 chenrui333/tap/yew-fmt 11 0%
#13562 cartman-kai/thrift/thrift@0.18 11 0%
#13563 digitalspacestdio/common/icu4c@70.1 11 0%
#13564 otto8-ai/tap/otto8 11 0%
#13565 oven-sh/bun/bun@1.1.42 11 0%
#13566 salilab/salilab/doxygen@1.8.6 11 0%
#13567 logchange/tap/logchange 11 0%
#13568 tantalor93/regatta-client/regatta-client 11 0%
#13569 kabel-salat/qt62lts/qtbase@6.2.9 11 0%
#13570 bast 11 0%
#13571 angelplusultra/jobshell/jobshell 11 0%
#13572 shivammathur/extensions/msgpack@7.1 11 0%
#13573 nightscape/tap/kinetic-merge 11 0%
#13574 null93/tap/aws-knox 11 0%
#13575 oven-sh/bun/bun@1.1.26 11 0%
#13576 shivammathur/extensions/igbinary@7.1 11 0%
#13577 kassert 11 0%
#13578 jlp04/homebrew/libglade 11 0%
#13579 daeho-ro/tap/ducker 11 0%
#13580 bbkane/tap/fling 11 0%
#13581 hlrs-vis/tap/covise 11 0%
#13582 yesser-studios/yesserstudios/yesser-todo-cli 11 0%
#13583 chenrui333/tap/shopify-cli 11 0%
#13584 lifepillar/appleii/applecommander-ac 11 0%
#13585 conductorone/baton/baton-okta 11 0%
#13586 aaratha/emacs-plus/emacs-plus@29 11 0%
#13587 oven-sh/bun/bun@1.1.20 11 0%
#13588 dpo/mumps-jl/mpich-parmetis 11 0%
#13589 emcee-cloud 11 0%
#13590 exhumer/tap/ffmpeg@6.0 11 0%
#13591 phusion/passenger/passenger-enterprise 11 0%
#13592 brewsci/bio/idba 11 0%
#13593 digitalspacestdio/php/php82-yaml 11 0%
#13594 nodesource/nsolid/nsolid-hydrogen 11 0%
#13595 cnoe-io/tap/idpbuilder 11 0%
#13596 satanicantichrist/satanicantichrist/server-updater 11 0%
#13597 amiaopensource/amiaos/ltopers 11 0%
#13598 ahmedashraf605/publictest/testcodereplacer 11 0%
#13599 digitalspacestdio/common/libxslt@1.10-icu4c.73.2 11 0%
#13600 thewebai/webai/webai 11 0%
#13601 2gis/brew/applesimutils 11 0%
#13602 shivammathur/extensions/vld@7.4 11 0%
#13603 jepeake/mtop/mtop 11 0%
#13604 monochromegane/tap/afa-tui 11 0%
#13605 ts_query_ls 11 0%
#13606 jlhonora/lsusb/lsusb 11 0%
#13607 smartlook/smartlook/smartlook-crash-reports-cli 11 0%
#13608 soundscaperenderer/ssr/libmysofa 11 0%
#13609 charmbracelet/tap/pop 11 0%
#13610 enapter/tap/enapter@3 11 0%
#13611 lyft/formulae/swiftlint 11 0%
#13612 sj14/tap/multicode 11 0%
#13613 knative-sandbox/kn-plugins/admin 11 0%
#13614 mkdryden/misc/namei 11 0%
#13615 superatomic/tap/tldr-man 11 0%
#13616 eso/pipelines/cpl@7.3.2 11 0%
#13617 shivammathur/extensions/xdebug2@7.3 11 0%
#13618 brotherbui/homebrew/bitcoin 11 0%
#13619 adamwulf/pdf/pdf 11 0%
#13620 git-pile 11 0%
#13621 ruedigerp/dns-manager/dns-manager 11 0%
#13622 lsv 11 0%
#13623 kreulenk/brew/mongotui 11 0%
#13624 chenrui333/tap/eas-cli 11 0%
#13625 toonetown/extras/wssa-diag 11 0%
#13626 plantoncloud/tap/mactl 11 0%
#13627 brewsci/bio/spaln 11 0%
#13628 shivammathur/extensions/zstd@8.4 11 0%
#13629 beshrns/mspgcc/msp430-binutils 11 0%
#13630 z80oolong/tmux/tmux@3.5a 11 0%
#13631 digzyme/third-party-tools/taxonkit 11 0%
#13632 notquiteasplanned/tap/usage 11 0%
#13633 openrtm/omniorb/omniorb-ssl-py312 11 0%
#13634 openrtm/openrtm2/openrtm2-python-py312 11 0%
#13635 digitalspacestdio/common/libiconv@1.16 11 0%
#13636 heroku/heroku/heroku 11 0%
#13637 edspc/extended/semversioner 11 0%
#13638 jpe90/clp/clp 11 0%
#13639 globalarrays 11 0%
#13640 mzdyl/fuse/unionfs-fuse 11 0%
#13641 daemonlogger 11 0%
#13642 fake-gcs-server 11 0%
#13643 ilijamt/tap/vault-token-helper 11 0%
#13644 scivisum/tap/collectd 11 0%
#13645 auteur 11 0%
#13646 shivammathur/extensions/vips@7.0 11 0%
#13647 oculus-vr/tap/meta-xr-simulator-qa 11 0%
#13648 sj14/tap/dbbench 11 0%
#13649 bazelbuild/tap/bazel@5.2.0 11 0%
#13650 tup 11 0%
#13651 homebrew/linux-fonts/font-intone-mono-nerd-font 11 0%
#13652 lucydodo/tap/db4ssqlitefts@5 11 0%
#13653 aws/tap/cbmc-starter-kit 11 0%
#13654 atlanhq/atlan/atlan 11 0%
#13655 jsonschema 11 0%
#13656 willdoescode/natls/natls 11 0%
#13657 brewsci/bio/phylonium 11 0%
#13658 oven-sh/bun/bun@1.1.24 11 0%
#13659 xoofx/grpc-curl/grpc-curl 11 0%
#13660 pputil 11 0%
#13661 create-go-app/cli/cgapp 11 0%
#13662 eatmemory 11 0%
#13663 blackcathehe/fbac/fbac 11 0%
#13664 bbilgin01/cs240ozu/riscv-pk-32 11 0%
#13665 z80oolong/tmux/powerline-status 11 0%
#13666 datastax/luna-streaming-shell/pulsar-shell 11 0%
#13667 sandstorm/tap/dev-script-runner 11 0%
#13668 digitalspacestdio/common/nghttp2@1.64.0-icu4c.74.2 11 0%
#13669 afrase/tap/mysqldumpsplit 11 0%
#13670 cyber-prophet/taps/cybundle 11 0%
#13671 manelatun/bottles/llvm 11 0%
#13672 romkatv/powerlevel10k/powerlevel10k 11 0%
#13673 chenrui333/tap/aiken 11 0%
#13674 nissy/mg/mg 11 0%
#13675 d12frosted/emacs-plus/emacs-plus@26 11 0%
#13676 stellar-xdr 11 0%
#13677 owlinux1000/tap/gcstree 11 0%
#13678 sidneys/homebrew/mpv-iina 11 0%
#13679 smillerdev/tap/php-http 11 0%
#13680 simonwhitaker/tap/gibo 11 0%
#13681 384co/os384-cli/os384-cli 11 0%
#13682 padok-team/tap/guacamole 11 0%
#13683 idleberg/tap/krampus 11 0%
#13684 cartman-kai/thrift/thrift@0.17 11 0%
#13685 ciphey 11 0%
#13686 na-trium-144/gazebo/ogre1.9 11 0%
#13687 kurtosis-tech/tap/kurtosis-cli@1.0.0 11 0%
#13688 chenrui333/tap/plandex 11 0%
#13689 jj-h/tap/gitee 11 0%
#13690 jpc-av/av-spex/av-spex 11 0%
#13691 smillerdev/tap/php-uopz 11 0%
#13692 ributzka/tap/watcom 11 0%
#13693 grayish/ceph-client/ceph-client 11 0%
#13694 kreeblah/sdl-tap/sdl_mixer 11 0%
#13695 eso/pipelines/esopipe-sofi-recipes 11 0%
#13696 anarchivist/espeak-ng/espeak-ng 11 0%
#13697 dev-hato/tap/tfrbac 11 0%
#13698 blitzwave 11 0%
#13699 g3log 11 0%
#13700 oleiade/tap/motus 11 0%
#13701 dragonops-io/tap/dragonops-cli 11 0%
#13702 shivammathur/extensions/vips@7.3 11 0%
#13703 bingokingo/bingokingo/alist 11 0%
#13704 sqldef/sqldef/mssqldef 11 0%
#13705 neoheartbeats/emacsthenno/emacsthenno 11 0%
#13706 celocli 11 0%
#13707 kabel-salat/qt62lts/cmake@3.22 11 0%
#13708 aconchillo/guile/guile-png 11 0%
#13709 jellyroller 11 0%
#13710 xmit-co/tap/xmit 11 0%
#13711 callum-oakley/tap/jfn 11 0%
#13712 robertocalvi/oldproj/proj 11 0%
#13713 arthur1/tap/otlc 11 0%
#13714 jianshu93/annembed/annembed 11 0%
#13715 tim101010101/ezcfg/ezcfg 11 0%
#13716 chat-cli/chat-cli/chat-cli 11 0%
#13717 shivammathur/extensions/swoole@5.6 11 0%
#13718 bartekpacia/tools/scripts 11 0%
#13719 yehe01/tap/ipsw 11 0%
#13720 yaml/yamlscript/ys 11 0%
#13721 jeppesen-fpc-sulu 11 0%
#13722 brewsci/bio/snp-sites 11 0%
#13723 shivammathur/extensions/v8js@7.2 11 0%
#13724 dart-lang/dart/dart@3.2.5 11 0%
#13725 hear 11 0%
#13726 octoml/tap/octoai 11 0%
#13727 m2700/tap/riscv-gnu-toolchain 11 0%
#13728 infamousjoeg/tap/cybr-cli 11 0%
#13729 brewsci/science/osgearth 11 0%
#13730 homebrew/linux-fonts/font-martian-mono-nerd-font 11 0%
#13731 shivammathur/extensions/phalcon3@7.3 11 0%
#13732 swift-embedded/formulae/cross 11 0%
#13733 kinetiqx/prism/prismx 11 0%
#13734 brewsci/science/pulseview 11 0%
#13735 brewforge/more/shiroa 11 0%
#13736 donn/lemon-graph/lemon-graph 11 0%
#13737 gusdg2/nvc/nvc 11 0%
#13738 weave 11 0%
#13739 manelatun/bottles/isl 11 0%
#13740 norbert515/tap/vide 11 0%
#13741 zdcthomas/tools/dmux 11 0%
#13742 kwabenantim/chaste/parmetis 11 0%
#13743 cachewerk/tap/relay@8.2 11 0%
#13744 ronnie-envilope/envilope/envilope 11 0%
#13745 synchronal/tap/dyd 11 0%
#13746 wappon28dev/tap/cook-zip 11 0%
#13747 hbmartin/graphviz2drawio/graphviz2drawio 11 0%
#13748 melonmanchan/lnr/lnr 11 0%
#13749 lucasnevespereira/tools/gituser 11 0%
#13750 gnames/gn/gnverifier 11 0%
#13751 andyetitmoves/public/tinyproxy-libproxy 11 0%
#13752 sbt@0.13 11 0%
#13753 pivotal/tap/gemfire 11 0%
#13754 signalbackup-tools 10 0%
#13755 brewsci/science/hyphy 10 0%
#13756 makeworld-the-better-one/tap/didder 10 0%
#13757 digitalspacestdio/php/php82-imagick 10 0%
#13758 apw 10 0%
#13759 gaarazhu/crgenerator/crgenerator 10 0%
#13760 shivammathur/extensions/zstd@8.2 10 0%
#13761 mgmeyers/pdfannots2json/pdfannots2json 10 0%
#13762 ermetic-research/cnappgoat/cnappgoat 10 0%
#13763 qabuddy-io/qabuddy/qabuddy 10 0%
#13764 coq-hierarchy-builder 10 0%
#13765 superevilmegacorp/caretaker/caretaker 10 0%
#13766 jesli96/brewpackgetest/brewpackgetest 10 0%
#13767 xetdata/xet-tools/xet-tools 10 0%
#13768 c6o/codezero/codezero-beta 10 0%
#13769 homebrew-ffmpeg/ffmpeg/ffmpeg@4 10 0%
#13770 jentz/vigilant-dollop/oidc-cli 10 0%
#13771 niluje/kindletool/kindletool 10 0%
#13772 sambadevi/powerlevel9k/powerlevel9k@0.6.3 10 0%
#13773 jhandguy/tap/aoss-curl 10 0%
#13774 andreoliwa/formulae/logseq-doctor 10 0%
#13775 rosco-m68k/toolchain/vlink 10 0%
#13776 rioriost/celestsp/celestsp 10 0%
#13777 homebrew/linux-fonts/font-zen-loop 10 0%
#13778 determined-ai/determined/determined-agent 10 0%
#13779 avsm/oxcaml/dune 10 0%
#13780 kakengloh/tsk/tsk 10 0%
#13781 albertyw/albertyw/git-browse 10 0%
#13782 homebrew/linux-fonts/font-bitstream-vera-sans-mono-nerd-font 10 0%
#13783 onelogin/tap-onelogin/onelogin 10 0%
#13784 codon 10 0%
#13785 opendoor-labs/tap/dexter 10 0%
#13786 shivammathur/extensions/rdkafka@5.6 10 0%
#13787 graelo/tap/jsonnet-language-server 10 0%
#13788 monoxer/monoxer/monoxer-ktlint 10 0%
#13789 ikanago/tap/omekasy 10 0%
#13790 manelatun/bottles/readline 10 0%
#13791 inivation/inivation/dv-runtime 10 0%
#13792 dima424658/clang/tarantool@1.5 10 0%
#13793 slp/krun/libkrunfw 10 0%
#13794 dfrancour/tap/endorctl 10 0%
#13795 freeapp2014/stuff/openrevolution 10 0%
#13796 shivammathur/extensions/v8js@7.1 10 0%
#13797 lucydodo/tap/db4subopenssl@3 10 0%
#13798 amiaopensource/amiaos/sccyou 10 0%
#13799 sri-csl/sri-csl/libpoly 10 0%
#13800 wasmvision/tools/wasmvision 10 0%
#13801 dilerous/dilerous/cnvrgctl 10 0%
#13802 controlplane-com/cpln/cpln-k8s-cost-analyzer 10 0%
#13803 linuxkit/linuxkit/rtf 10 0%
#13804 fission-suite/fission/fission-cli 10 0%
#13805 sidaf/pentest/snmpcheck 10 0%
#13806 hoddy3190/my-tap/lgbd 10 0%
#13807 libpointing 10 0%
#13808 estahn/tap/cloudping 10 0%
#13809 pact-foundation/pact-ruby-standalone/pact-ruby-standalone@1 10 0%
#13810 ucb-bar/riscv/riscv-tools 10 0%
#13811 xrick 10 0%
#13812 brewsci/bio/ema 10 0%
#13813 sammy007/cryptonight/aeon 10 0%
#13814 shivammathur/extensions/gearman@7.1 10 0%
#13815 opslevel/tap/opslevel-runner 10 0%
#13816 cyber-prophet/taps/pussy 10 0%
#13817 alexmikhalevich/cartesi/cartesi-machine 10 0%
#13818 osx-cross/avr/avr-gcc@13 10 0%
#13819 yohey/legacy/cgal@4 10 0%
#13820 neved4/tap/withjava 10 0%
#13821 yaml-path 10 0%
#13822 taktuk 10 0%
#13823 u-cto-devops/lguctl/lguctl 10 0%
#13824 envoy/tools/eta 10 0%
#13825 shivammathur/extensions/expect@8.5 10 0%
#13826 staycaffeinated/tap/metacode 10 0%
#13827 chenrui333/tap/dbin 10 0%
#13828 shivammathur/extensions/opentelemetry@8.2 10 0%
#13829 detach 10 0%
#13830 protoc-gen-gogofaster 10 0%
#13831 fouadh/tap/gocan 10 0%
#13832 jadedblueeyes/gomuks/gomuks 10 0%
#13833 aws/tap/k8s-tools 10 0%
#13834 tranvictor/jarvis/jarvis 10 0%
#13835 nwhetsell/lilypond/abjad 10 0%
#13836 tschoonj/tap/xmi-msim 10 0%
#13837 lii-enac/repo/smala 10 0%
#13838 dangornushi/lsl/lsl 10 0%
#13839 shivammathur/extensions/v8js@7.3 10 0%
#13840 shivammathur/extensions/vld@7.2 10 0%
#13841 mht208/formal/mathsat 10 0%
#13842 xataio/pgstream/pgstream 10 0%
#13843 brewsci/bio/pirate 10 0%
#13844 lpac 10 0%
#13845 sqlitebrowser/tap/sqlb-sqlite 10 0%
#13846 brewsci/bio/falco 10 0%
#13847 moep90/cellar/helm-tool 10 0%
#13848 witx98/app/app 10 0%
#13849 maputnik 10 0%
#13850 manelatun/catalina/autoconf 10 0%
#13851 shivammathur/extensions/gearman@7.0 10 0%
#13852 libmnl 10 0%
#13853 p1x3l101-10/personal/zulu@8 10 0%
#13854 lanterndata/lantern/lantern 10 0%
#13855 befunge93 10 0%
#13856 chenrui333/tap/libdivide 10 0%
#13857 mht208/formal/cudd 10 0%
#13858 interline-io/planetutils/planetutils 10 0%
#13859 drin/hatchery/protobuf-static 10 0%
#13860 shivammathur/extensions/uuid@7.2 10 0%
#13861 domt4/crypto/libsecp256k1 10 0%
#13862 denji/tengine/tengine 10 0%
#13863 brewsci/bio/portcullis 10 0%
#13864 raphlcx/tap/vim-minimal 10 0%
#13865 avito-tech/tap/emcee-cloud 10 0%
#13866 jimon-s/pipeline-lens/pipeline-lens 10 0%
#13867 macoscontainers/formula/bindfs 10 0%
#13868 llvm-hs/llvm/llvm-4.0 10 0%
#13869 memory-mcp-server 10 0%
#13870 moonfruit/tap/p7zip-all 10 0%
#13871 shivammathur/extensions/ssh2@7.3 10 0%
#13872 lintnet/lintnet/lintnet 10 0%
#13873 falcosuessgott/tap/vkv 10 0%
#13874 btrbk 10 0%
#13875 yugabyte/tap/yugabytedb-client 10 0%
#13876 eso/pipelines/esopipe-sinfo-recipes 10 0%
#13877 blakek/blakek/pomodoro 10 0%
#13878 shivammathur/extensions/imap@8.5 10 0%
#13879 azhuchkov/tools/tgbounce 10 0%
#13880 onctl 10 0%
#13881 gotidy/tap/x2c 10 0%
#13882 tanmaypatil105/tap/procfetch 10 0%
#13883 jrmastermodelbuilder/gogcheck/gogcheck 10 0%
#13884 jenkins-infra/tap/jv 10 0%
#13885 smillerdev/tap/php-raphf 10 0%
#13886 canac/tap/kaw 10 0%
#13887 bugdea1er/tap/tmp@2 10 0%
#13888 shivammathur/extensions/uuid@8.0 10 0%
#13889 colemccarren/decode-gtfs-rt/decode-gtfs-rt 10 0%
#13890 caius/prince/prince 10 0%
#13891 mongodb/brew/mongodb-mongocryptd@5.0 10 0%
#13892 frost/tap/git-mob 10 0%
#13893 shini4i/tap/argo-compare 10 0%
#13894 moritzheiber/tap/crowbar 10 0%
#13895 nginx-ui 10 0%
#13896 bkryza/core/clang-uml 10 0%
#13897 imajoriri/cmagic/cmagic 10 0%
#13898 keith/formulae/contacts-cli 10 0%
#13899 sishir2001/pinrex/pinrex@1.1.1 10 0%
#13900 brewsci/bio/libpll 10 0%
#13901 jianzcar/jz/fuzpad 10 0%
#13902 zph/cervezas/pdftk 10 0%
#13903 davvid/garden/garden 10 0%
#13904 tuist/tuist/tuist@4.0.0 10 0%
#13905 axelbrunger/httpd-ldap/httpd-ldap 10 0%
#13906 andreassko/go-jwlm/go-jwlm 10 0%
#13907 vultureprime/float16/float63-lab 10 0%
#13908 nostorg/nostr/nak 10 0%
#13909 simnalamburt/x/elb-log-parser 10 0%
#13910 teopiaz/auterion/virtual-skynode 10 0%
#13911 chefgs/endless-runner/endless-runner 10 0%
#13912 retr0h/tap/gilt 10 0%
#13913 digitalspacestdio/php/php84-yaml 10 0%
#13914 gromgit/dev/pup2 10 0%
#13915 vilistextum 10 0%
#13916 fengrove/tap/mangrove 10 0%
#13917 dxtich/core/qemu 10 0%
#13918 mboxplus/tap/mbox 10 0%
#13919 digitalspacestdio/php/php74-rdkafka 10 0%
#13920 developer-setup 10 0%
#13921 moesnow/tools/bing-wallpaper-macos 10 0%
#13922 vyasgun/crc/crc 10 0%
#13923 qovery/helm-freeze/helm-freeze 10 0%
#13924 restechnica/tap/semverbot 10 0%
#13925 shivammathur/extensions/rdkafka@7.0 10 0%
#13926 brewsci/bio/ntedit 10 0%
#13927 jacobwgillespie/tap/git-sync 10 0%
#13928 chenrui333/tap/tclint 10 0%
#13929 tech-thinker/tap/chatz 10 0%
#13930 arbourd/tap/go 10 0%
#13931 daltonsw/packages/aocli 10 0%
#13932 kerren/brrelease-tap/brrelease 10 0%
#13933 eso/pipelines/esopipe-uves-all 10 0%
#13934 mermaid-cli 10 0%
#13935 vitorgalvao/tiny-scripts/cask-analytics 10 0%
#13936 brewsci/bio/flash 10 0%
#13937 2bbb/sdr/gr-osmosdr 10 0%
#13938 foomo/tap/posh 10 0%
#13939 adrianliechti/tap/devkit 10 0%
#13940 rosco-m68k/toolchain/binutils-cross-m68k 10 0%
#13941 anoma/juvix/juvix-nightly 10 0%
#13942 aconchillo/guile/skribilo 10 0%
#13943 taclane/sdr/libacars 10 0%
#13944 raylib-project-creator 10 0%
#13945 windsorcli/cli/windsor 10 0%
#13946 onmetal-dev/tap/cli 10 0%
#13947 dlr-ts/sumo/sumo@1.17.0 10 0%
#13948 digitalspacestdio/php/php83-rdkafka 10 0%
#13949 prototool 10 0%
#13950 ivcap-works/ivcap/ivcap 10 0%
#13951 digitalspacestdio/php/php72-rdkafka 10 0%
#13952 fmuro/amc/auto-multiple-choice 10 0%
#13953 shivammathur/extensions/uuid@7.4 10 0%
#13954 homebrew/linux-fonts/font-envy-code-r-nerd-font 10 0%
#13955 dbt-labs/dbt/dbt@0.17.2 10 0%
#13956 hs-teams/homesetup/homesetup 10 0%
#13957 davep/homebrew/hike 10 0%
#13958 essentials 10 0%
#13959 harleymckenzie/asc/asc 10 0%
#13960 chenrui333/tap/readmeai 10 0%
#13961 manelatun/catalina/automake 10 0%
#13962 manelatun/catalina/m4 10 0%
#13963 dart-lang/dart/dart@3.2.1 10 0%
#13964 digitalspacestdio/common/icu4c@72.1 10 0%
#13965 bjasspa/jasspa/microemacs 10 0%
#13966 shivammathur/extensions/uuid@8.5 10 0%
#13967 mananapr/cfiles/cfiles 10 0%
#13968 homebrew/linux-fonts/font-monocraft-nerd-font 10 0%
#13969 chenrui333/tap/cargo-spellcheck 10 0%
#13970 shivammathur/extensions/rdkafka@7.1 10 0%
#13971 sektioneins/tap/sshdcc 10 0%
#13972 shivammathur/extensions/vld@8.2 10 0%
#13973 trinio-labs/tap/bake 10 0%
#13974 httpx-sh/tap/httpx 10 0%
#13975 ired 10 0%
#13976 dacsang97/tap/aigc 10 0%
#13977 kevemueller/ksysroot/ksysroot_aarch64-freebsd14.1@14.1-freebsd-release 10 0%
#13978 statica 10 0%
#13979 kicad 10 0%
#13980 szampier/pipelines/adari 10 0%
#13981 yapaluc/hg-git/hg-git 10 0%
#13982 mytop 10 0%
#13983 chenrui333/tap/r2md 10 0%
#13984 startergo/qemu-virgl/qemu-virgl 10 0%
#13985 z3ntu/openrazer/razer_test 10 0%
#13986 na-trium-144/webcface/ftxui-static 10 0%
#13987 digitalspacestdio/common/libiconv@1.15 10 0%
#13988 homebrew/linux-fonts/font-ubuntu-sans-nerd-font 10 0%
#13989 drin/hatchery/abseil-static 10 0%
#13990 yohasebe/code-packager/code-packager 10 0%
#13991 grapple-solutions/tap/grapple-test-cli 10 0%
#13992 manelatun/catalina/libtool 10 0%
#13993 olt/omniscale/mapnik 10 0%
#13994 jahvon/tap/flow 10 0%
#13995 timescam/tap/doas 10 0%
#13996 sparkworx/stuff/instantclient-basic@23 10 0%
#13997 oven-sh/bun/bun@1.0.22 10 0%
#13998 shivammathur/extensions/vld@7.3 10 0%
#13999 libwapcaplet 10 0%
#14000 brewsci/bio/ascp 10 0%
#14001 tinyexr 10 0%
#14002 xmount 10 0%
#14003 sonixqmk/sonixqmk/sonixflasher 10 0%
#14004 git-stack-cli 10 0%
#14005 appliedgo/tools/goman 10 0%
#14006 movableink/formulas/qtwebkit 10 0%
#14007 shivammathur/extensions/expect@7.3 10 0%
#14008 deus0ww/tap/dovi_tool 10 0%
#14009 digitalspacestdio/php/php71-rdkafka 10 0%
#14010 morpho-lang/morpho/morpho-libmorpho 10 0%
#14011 rustls/tap/curl 10 0%
#14012 homebrew/linux-fonts/font-fontawesome 10 0%
#14013 slp/krun/libkrun 10 0%
#14014 z80oolong/tmux/tmux-github 10 0%
#14015 homebrew/linux-fonts/font-iosevka-ss05 10 0%
#14016 stevencch99/windsurf-cli/windsurf-cli 10 0%
#14017 ajaypremshankar/macro-cli/macro-cli 10 0%
#14018 seungyeop-lee/tap/directory-watcher 10 0%
#14019 bfontaine/utils/stripe 10 0%
#14020 ampl-mp@3 10 0%
#14021 borneodata/tap/borneodp 10 0%
#14022 brewsci/bio/lighter 10 0%
#14023 denisdefreyne/tap/ddenv 10 0%
#14024 geko 10 0%
#14025 nomore403 10 0%
#14026 gromgit/dev/tclreadline 10 0%
#14027 jfb3615/repo/qat3d 10 0%
#14028 0f-0b/mkxp/mkxp 10 0%
#14029 chenrui333/tap/cargo-geiger 10 0%
#14030 onnxnostaticreg 10 0%
#14031 oacli 10 0%
#14032 empyrealapp/empyrealsdk/empctl 10 0%
#14033 anslem1/tap/transit-cli 10 0%
#14034 yugabyte/tap/yugabytedb 10 0%
#14035 frosthaven/aeroplace/aeroplace 10 0%
#14036 islam3zzat/k8s-navigator/k8s-navigator 10 0%
#14037 jrmastermodelbuilder/torrentcheck/torrentcheck 10 0%
#14038 googler 10 0%
#14039 brewforge/extras/alist 10 0%
#14040 will397/devtools/helm 10 0%
#14041 brewsci/bio/ngmerge 10 0%
#14042 vantage-sh/clickhouse/clickhouse@24.8 10 0%
#14043 chenrui333/tap/sloctl 10 0%
#14044 ztm 10 0%
#14045 hackerchai/tap/dylib-installer 10 0%
#14046 petere/postgresql/postgresql@9.3 10 0%
#14047 nanaian/brew/mips-linux-gnu-binutils 10 0%
#14048 shivammathur/extensions/vld@8.1 10 0%
#14049 martinhh/beta/cratetom3u 10 0%
#14050 kqwait 10 0%
#14051 zwaldowski/tap/ffmpeg-lite 10 0%
#14052 trendyol/trendyol-tap/docker-shell 10 0%
#14053 bellecp/fast-p/fast-pdf-finder 10 0%
#14054 abinit/tap/agate 10 0%
#14055 shivammathur/extensions/ast@8.0 10 0%
#14056 gkwa/tools/miragewyrm 10 0%
#14057 datagrip 10 0%
#14058 jckuester/tap/awsweeper 10 0%
#14059 maanugh/tap/kubectl-kruise 10 0%
#14060 droidsonroids/tools/dor 10 0%
#14061 openscap 10 0%
#14062 zerocorebeta/core/optionk 10 0%
#14063 colorway 10 0%
#14064 geors/maps/spdlog@14 10 0%
#14065 gnitoahc/tap/md-html 10 0%
#14066 shopify/shopify/oauth-tunnel-client 10 0%
#14067 jftuga/tap/nics 10 0%
#14068 openemv/tap/emv-utils 9 0%
#14069 brewsci/bio/libstatgen 9 0%
#14070 fleetwoodmac/git-identity-manager/git-identity-manager 9 0%
#14071 shivammathur/php/bison@2.7 9 0%
#14072 ymgyt/syndicationd/synd-term 9 0%
#14073 shivammathur/extensions/amqp@5.6 9 0%
#14074 mwatelescope/tap/aoflagger 9 0%
#14075 d235j/ios-restore-tools/libtatsu 9 0%
#14076 yigitozgumus/formulae/lazydraft 9 0%
#14077 icat 9 0%
#14078 modelfoxdotdev/tap/modelfox 9 0%
#14079 manelatun/bottles/sqlite 9 0%
#14080 qwwdfsad/tap/amper 9 0%
#14081 kevemueller/ksysroot/ksysroot_aarch64-freebsd13.4@13.4-freebsd-stable 9 0%
#14082 idpzero/idpzero/idpzero 9 0%
#14083 digitalspacestdio/php/php80-rdkafka 9 0%
#14084 libnetfilter_conntrack 9 0%
#14085 coinset-org/cli/coinset 9 0%
#14086 rvm 9 0%
#14087 miles170/emacs/emacs 9 0%
#14088 olets/tap/hometown-prompt 9 0%
#14089 darth-tech/tap/samwise-cli 9 0%
#14090 schpet/tap/envset 9 0%
#14091 namolnad/formulae/display-arranger 9 0%
#14092 conductorone/baton/baton-postgresql 9 0%
#14093 edgee-cloud/edgee/edgee 9 0%
#14094 houmain/tap/spright 9 0%
#14095 kevemueller/ksysroot/ksysroot_aarch64-freebsd15.0@15.0-freebsd-current 9 0%
#14096 zero-sh/tap/apply-user-defaults 9 0%
#14097 digitalspacestdio/php/php81-yaml 9 0%
#14098 hazelcast/hz/hazelcast-management-center@5.3.3 9 0%
#14099 jacobfg/taps-old/ssm-tool 9 0%
#14100 sl1pkn07/sl1/moltenvk 9 0%
#14101 maaassistantarknights/tap/onnxruntime-coreml 9 0%
#14102 ernoaapa/kubectl-plugins/warp 9 0%
#14103 shivammathur/extensions/pdo_sqlsrv@7.2 9 0%
#14104 dvaumoron/tap/shelltools 9 0%
#14105 danielkag/glogm/glogm 9 0%
#14106 nobbmaestro/tap/lazyhis 9 0%
#14107 valkyrie00/bbrew/bbrew 9 0%
#14108 microsoft/mssql-release/msodbcsql17@17.2.0.1 9 0%
#14109 lssh 9 0%
#14110 zeyu-xie/core/hydrangea-screen-monitor 9 0%
#14111 ymyzk/ymyzk/ochacaml 9 0%
#14112 cirruslabs/cli/chacha 9 0%
#14113 kevemueller/ksysroot/ksysroot_aarch64-freebsd13.3@13.3-freebsd-release 9 0%
#14114 darwin-containers/formula/containerd 9 0%
#14115 ameshkov/tap/sniproxy 9 0%
#14116 doublecpp 9 0%
#14117 shivammathur/extensions/opentelemetry@8.5 9 0%
#14118 anirudhg07/anirudhg07/typeinc 9 0%
#14119 testmaan/tm/tm 9 0%
#14120 brotherbui/homebrew/localreserveproxy 9 0%
#14121 andgohq/tools/diet-mov 9 0%
#14122 hikoyu/shogi/fukauraou_coreml 9 0%
#14123 kevemueller/ksysroot/ksysroot_aarch64-freebsd13.4@13.4-freebsd-release 9 0%
#14124 biohackerellie/tap/flexroster 9 0%
#14125 ctxsh/tap/genie 9 0%
#14126 bayandin/tap/s5cmd 9 0%
#14127 smillerdev/tap/firefox 9 0%
#14128 kevemueller/ksysroot/ksysroot_aarch64-netbsd 9 0%
#14129 idleberg/tap/dropboxignore 9 0%
#14130 andresv/gnuradio/gr-baz 9 0%
#14131 dotload 9 0%
#14132 linuxbrew/fonts/font-cascadia-code 9 0%
#14133 saibit-tech/ogc-cli/ogc-cli 9 0%
#14134 elgaeb/marklogic/marklogic-flux 9 0%
#14135 manelatun/bottles/python@3.12 9 0%
#14136 oven-sh/bun/bun@1.1.12 9 0%
#14137 shivammathur/extensions/v8js@8.1 9 0%
#14138 pomerium/tap/pomerium 9 0%
#14139 shivammathur/extensions/zstd@8.0 9 0%
#14140 tuist/tuist/tuist@3.34.0 9 0%
#14141 tgragnato/amule/amule 9 0%
#14142 khanakia/pcu/pcu 9 0%
#14143 it-objects/aws-rds-helper/aws-rds-helper 9 0%
#14144 cartesi/tap/cartesi-machine-linux-image 9 0%
#14145 ankane/brew/pgslice 9 0%
#14146 codecademy-engineering/bootstrap/kubernetes-cli@1.27 9 0%
#14147 ochafik/llama.cpp/cli 9 0%
#14148 mongodb/brew/mongodb-mongocryptd@6.0 9 0%
#14149 shihanng/gig/gig 9 0%
#14150 digitalspacestdio/php/php74-yaml 9 0%
#14151 brewdex/awsure/awsure 9 0%
#14152 noborus/trdsql/ov 9 0%
#14153 ppc64le-cloud/pvsadm/pvsadm 9 0%
#14154 mediaarea/mediaarea/mediainfo-gui 9 0%
#14155 wangl-cc/loong/dark-mode-notify 9 0%
#14156 instantclienttap/instantclient/instantclient-arm64-sdk 9 0%
#14157 k-cloud-labs/tap/kluster-capacity 9 0%
#14158 remino/remino/mkx 9 0%
#14159 perl-opengl 9 0%
#14160 vyasgun/crc/vfkit 9 0%
#14161 aivanovski/brew/kp-diff 9 0%
#14162 trojan-gfw/trojan/trojan 9 0%
#14163 nef 9 0%
#14164 rainforestapp/public/rainforest-cli 9 0%
#14165 purefunctor/emacs-plus/emacs-plus@29 9 0%
#14166 redspot/custom/mingw-w64@11.0.1 9 0%
#14167 daviderestivo/cowsay-ng/cowsay-ng 9 0%
#14168 peng1999/personal-tap/seadrive 9 0%
#14169 popcornpalace/tap/yawsso 9 0%
#14170 shibme/tap/xipher 9 0%
#14171 vapor/tap/vapor-beta 9 0%
#14172 chenrui333/tap/goboscript 9 0%
#14173 jsontypedef/jsontypedef/jtd-fuzz 9 0%
#14174 raystack/tap/frontier 9 0%
#14175 kyoshidajp/dep-doctor/dep-doctor 9 0%
#14176 mycelial/tap/mycelial 9 0%
#14177 dart-lang/dart/dart@3.1.2 9 0%
#14178 rocq-elpi 9 0%
#14179 datum-cloud/tap/datumctl 9 0%
#14180 shivammathur/extensions/ds@7.2 9 0%
#14181 brewsci/bio/oma 9 0%
#14182 johanvdhaegen/tools/codesearch 9 0%
#14183 ensembl/external/kent 9 0%
#14184 tonedefdev/terracreds/terracreds 9 0%
#14185 johanvdhaegen/tools/pdfminer 9 0%
#14186 shivammathur/extensions/vips@7.1 9 0%
#14187 redhat-documentation/repo/newdoc 9 0%
#14188 gromgit/new-life/frobtads 9 0%
#14189 0chord/cbit/cbit 9 0%
#14190 kyoto7250/zhobo/zhobo 9 0%
#14191 scivisum/tap/salt@3004.2 9 0%
#14192 atko-pam/asa/sft-deploy 9 0%
#14193 msgraph 9 0%
#14194 kwabenantim/chaste/vtk 9 0%
#14195 enchive 9 0%
#14196 mongodb/brew/mongodb-mongocryptd@4.4 9 0%
#14197 neoclip-nvim/neoclip/neoclip 9 0%
#14198 bld 9 0%
#14199 xcoo/formulae/papery 9 0%
#14200 runloopai/tap/rl-cli 9 0%
#14201 hazelcast/hz/hazelcast-management-center@5.5.2 9 0%
#14202 solo-io/tap/glooctl 9 0%
#14203 kalonys/cli/kalonys 9 0%
#14204 shivammathur/extensions/expect@8.2 9 0%
#14205 davep/homebrew/braindrop 9 0%
#14206 shivammathur/extensions/v8js@8.0 9 0%
#14207 eduardoagarcia/tap/shef 9 0%
#14208 tomwardill/oarc-qttermtcp-tap/oarc-qttermtcp 9 0%
#14209 choria-io/tap/appbuilder 9 0%
#14210 gschurck/fuzzybrew/fuzzybrew 9 0%
#14211 hawkw/grub/grub 9 0%
#14212 dlozynski/tools/input-leap 9 0%
#14213 pkl-gen-swift 9 0%
#14214 setweblocthumb 9 0%
#14215 abcang/azpainter/azpainter 9 0%
#14216 ardour 9 0%
#14217 pfnet-research/menoh/menoh 9 0%
#14218 lithammer/deadsnakes/python@3.6 9 0%
#14219 since 9 0%
#14220 tifrueh/mytap/ankidoc 9 0%
#14221 rocq 9 0%
#14222 pythonswiftlink/tools/pstoolchain 9 0%
#14223 shivammathur/extensions/ssh2@8.0 9 0%
#14224 shengchangyang/outdated/swig@3.0.12 9 0%
#14225 suzuki-shunsuke/tfprovidercheck/tfprovidercheck 9 0%
#14226 kordamp/tap/jarviz 9 0%
#14227 dciabrin/ngdevkit/ngdevkit-gngeo 9 0%
#14228 ownia/ownia/gtkmm 9 0%
#14229 digitalspacestdio/php/php70-rdkafka 9 0%
#14230 digitalspacestdio/common/libxslt@1.10-icu4c.72.1 9 0%
#14231 nnstreamer/neural-network/nnstreamer 9 0%
#14232 aoeui 9 0%
#14233 lusingander/tap/ddv 9 0%
#14234 amoghrrathod/alertify/alertify 9 0%
#14235 libpq@17 9 0%
#14236 k1low/tap/gh-do 9 0%
#14237 skynet-core/skynet/adaptive-cpp@24.06.0 9 0%
#14238 granite 9 0%
#14239 nirmata/nctl/nctl@4.3-rc 9 0%
#14240 float16-cloud/float16/cli 9 0%
#14241 zchee/formula/telepresence-head 9 0%
#14242 oven-sh/bun/bun@1.1.9 9 0%
#14243 masukomi/apps/oho 9 0%
#14244 linxunfeng/tap/condor 9 0%
#14245 ngscopeclient/ngscopeclient/ngscopeclient 9 0%
#14246 raulgotor/tty-tetris/tty-tetris 9 0%
#14247 cloud-officer/ci/githubbuild 9 0%
#14248 ldez/tap/prm 9 0%
#14249 caseconvert 9 0%
#14250 tecnocrata/tools/depscop 9 0%
#14251 cartesi/tap/cartesi-machine-rootfs-image 9 0%
#14252 z80oolong/tmux/tmux@3.3a 9 0%
#14253 sportngin/homebrew/openssl@1.1 9 0%
#14254 lou1306/formal/kissat 9 0%
#14255 brewforge/extras/typstyle 9 0%
#14256 bazelbuild/tap/bazel@6.1.0 9 0%
#14257 neil-and-void/hurl/hurl 9 0%
#14258 resonative/proaudio/prvinstallerhelper 9 0%
#14259 1hakusai1/1hakusai1/awscurl-rs 9 0%
#14260 cqlabs/dcm/dcm@1.24.2 9 0%
#14261 k1low/tap/awsdo 9 0%
#14262 cxwx/cxbrew/ftxui 9 0%
#14263 lifepillar/appleii/merlin32 9 0%
#14264 jarred-sumner/git-peek/git-peek 9 0%
#14265 jmif/cloud_sql_proxy/cloud_sql_proxy 9 0%
#14266 brewsci/bio/nanofilt 9 0%
#14267 ext2fuse 9 0%
#14268 sidaf/pentest/whatweb 9 0%
#14269 fane1824/tap-nightly/corpustools 9 0%
#14270 zelnox/zelnox/protobuf-c@1.5 9 0%
#14271 dice 9 0%
#14272 hashicorp/tap/consul-esm 9 0%
#14273 himenon/zag/zag 9 0%
#14274 eso/pipelines/esopipe-gravity 9 0%
#14275 samsullivan/samsullivan/jqless 9 0%
#14276 clfft 9 0%
#14277 lmkra/tap/hg-evolve 9 0%
#14278 knative-sandbox/kn-plugins/event 9 0%
#14279 rtuin/tools/prepare-commit-msg-gen 9 0%
#14280 shivammathur/extensions/event@7.3 9 0%
#14281 kb24x7/rustyvibes/rustyvibes 9 0%
#14282 theopenlane/tap/openlane 9 0%
#14283 sampsyo/mspgcc/msp430-binutils 9 0%
#14284 cdenneen/taps/switcher@0.9.1 9 0%
#14285 prospectone/perfops/perfops 9 0%
#14286 proot 9 0%
#14287 ether-cli/tap/ether 9 0%
#14288 conversence/conversencetaps/postgresql_plpy@16 9 0%
#14289 javier-vila-ph/tap/cf-cli-ph@6 9 0%
#14290 shivammathur/extensions/pcov@8.5 9 0%
#14291 robinmin/tap/askllm 9 0%
#14292 bazelbuild/tap/bazel@5.3.2 9 0%
#14293 toonetown/extras/swup 9 0%
#14294 tehzz/n64-dev/qemu-irix 9 0%
#14295 progrium/taps/wanix 9 0%
#14296 lucydodo/tap/sqlb-sqlcipher 9 0%
#14297 csyezheng/tap/a2fa 9 0%
#14298 kreuzwerker/taps/awsu 9 0%
#14299 yugabyte/tap/yb-voyager@1.8.1 9 0%
#14300 umlx5h/tap/zsh-manpage-completion-generator 9 0%
#14301 farcloser/brews/farcloser-dev 9 0%
#14302 shyim/tap/tanjun 9 0%
#14303 bitponyllc/tap/huekeys 9 0%
#14304 wassimbenzarti/tap/github-notifier 9 0%
#14305 blackstork-io/tools/fabric 9 0%
#14306 alphauslabs/tap/bluectl 9 0%
#14307 kcl-lang/tap/kcl@0.10 9 0%
#14308 pothosware/pothos/libad9361 9 0%
#14309 tox/tox/libtoxcore 9 0%
#14310 oven-sh/bun/bun@1.1.4 9 0%
#14311 nixberg/tap/genpass 9 0%
#14312 pythonswiftlink/tools/swiftpackagegen 9 0%
#14313 nicobistolfi/carbon/mockthis 9 0%
#14314 axiomhq/tap/axiom-syslog-proxy 9 0%
#14315 dattito/tap/essi-gb-2024 9 0%
#14316 eval/brew/deps-try 9 0%
#14317 wdfs 9 0%
#14318 shu-pf/tap/dpl 9 0%
#14319 gromgit/dev/nuitka 9 0%
#14320 openium/formula/imagemagick-librsvg 9 0%
#14321 cmbartschat/itch/itch 9 0%
#14322 brewsci/bio/clonalframeml 9 0%
#14323 vetlewi/formula/talys 9 0%
#14324 eclipse-zenoh/zenoh/libzenohc 9 0%
#14325 bazelbuild/tap/bazel@4.2.2 9 0%
#14326 chenrui333/tap/zigscient 9 0%
#14327 qdbm 9 0%
#14328 brewsci/bio/ibdseq 9 0%
#14329 tuist/tuist/tuist@3.25.0 9 0%
#14330 gpg-agent 9 0%
#14331 arthurk/virt-manager/virt-viewer 9 0%
#14332 zenoolabs/tap/zenoo-cli 9 0%
#14333 rabarbra/grub/grub 9 0%
#14334 watawuwu/tap/kubectl-topology-skew 9 0%
#14335 kevemueller/ksysroot/ksysroot_powerpc64le-linux6.12-gnu@13-debian 9 0%
#14336 ticky/steamos/rbdrum2midi 9 0%
#14337 bees-hive/hive/aws-creds 9 0%
#14338 davidchall/hep/f2c 9 0%
#14339 neh-cli/tap/neh 9 0%
#14340 clay 9 0%
#14341 brewsci/bio/libbigwig 9 0%
#14342 farcloser/brews/mumbrew 9 0%
#14343 dispatchrun/dispatch/dispatch 9 0%
#14344 subhash-halder/brew/geoip 9 0%
#14345 elastic/tap/metricbeat-oss 9 0%
#14346 nikaro/tap/picocrypt 9 0%
#14347 legnoh/etc/hap-nature-remo 9 0%
#14348 chenrui333/tap/gignr 9 0%
#14349 vvatanabe/gitb/gitb 9 0%
#14350 deskflow 9 0%
#14351 snowflakedb/cloudeng/snowkube 9 0%
#14352 wxwidgets@3.0 9 0%
#14353 kirillian/pdftk/pdftk 9 0%
#14354 shivammathur/extensions/vips@7.2 9 0%
#14355 kkonsw/dino/dino 9 0%
#14356 burnt-labs/xion/xiond 9 0%
#14357 dart-lang/dart/dart@3.2.4 9 0%
#14358 moonbase59/tap/ices0 9 0%
#14359 josuemolinamorales/mutant-kraken/mutant-kraken 9 0%
#14360 lirummirul/pattsw/pattswift 9 0%
#14361 microsoft/mssql-release/msodbcsql17@17.6.1.1 9 0%
#14362 fasd 9 0%
#14363 lmigtech/lmig/apikeyretriever 9 0%
#14364 steffakasid/trivyops/trivyops 9 0%
#14365 vanyauhalin/shell_gpt/shell_gpt@1.1.0 9 0%
#14366 reitermarkus/tap/nut-notify 9 0%
#14367 krzko/tap/proto2yaml 9 0%
#14368 philrz/tap/super 9 0%
#14369 tuist/tuist/tuist@3.40.0 9 0%
#14370 stockx/tools/stockx-kubectl 9 0%
#14371 cloud-v/icestorm/nextpnr-ice40 9 0%
#14372 qupath 9 0%
#14373 abhinav/tap/restack 9 0%
#14374 muquit/mailsend-go/mailsend-go 9 0%
#14375 nicholascross/ch.sh/ch 9 0%
#14376 pbar1/tap/mfaws 9 0%
#14377 cartman-kai/thrift/thrift@0.14 9 0%
#14378 bettercallshao/tap/kwt 9 0%
#14379 homebrew/linux-fonts/font-zed-mono 9 0%
#14380 homebotapp/tap/godev 9 0%
#14381 newreleasesio/cmd/newreleases 9 0%
#14382 yangl900/armclient-go/armclient-go 9 0%
#14383 amberframework/amber/amber 9 0%
#14384 dianedelallee/qoqacommandline/qoqa_cli 9 0%
#14385 lincity-ng 9 0%
#14386 szampier/pipelines/esopipe-detmon-recipes 9 0%
#14387 thejumpcloud/tap/kompose-jumpcloud 9 0%
#14388 michidk/tools/punktf 9 0%
#14389 sprocket 9 0%
#14390 ins0mniaque/srcenv/srcenv 9 0%
#14391 adrianliechti/tap/llama.cpp 9 0%
#14392 shivammathur/extensions/zstd@7.2 9 0%
#14393 common-fate/granted/gdeploy 9 0%
#14394 takahirom/repo/arbigent 9 0%
#14395 tienex/moretti/llvm-esp32@15 9 0%
#14396 y3owk1n/tap/cpenv 9 0%
#14397 care 9 0%
#14398 icecube/icecube/sprng2 9 0%
#14399 alhadis/troff/heirloom-doctools 9 0%
#14400 satoricyber/satori/satori_cli 9 0%
#14401 barracuda-cloudgen-access/tap/access-cli 9 0%
#14402 treblle/treblle/treblle 9 0%
#14403 silphid/jen/jen 9 0%
#14404 aide-qc/deploy/llvm-csp 9 0%
#14405 wish/wish/go@1.16 9 0%
#14406 shivammathur/extensions/gearman@7.3 9 0%
#14407 tiny-fugue 9 0%
#14408 chriswiegman/kana/kana 9 0%
#14409 reviewdog 9 0%
#14410 divanvisagie/tap/cgip 9 0%
#14411 flying7eleven/scitsifreine/scitsifreine 9 0%
#14412 mfem-mgis 9 0%
#14413 manelatun/bottles/ninja 9 0%
#14414 ipatch/us-05/xar 9 0%
#14415 roberthawdon/dfshow/dfshow 9 0%
#14416 radmakr/swiftcloud/swift-cloud 9 0%
#14417 riscv/riscv/riscv-pk 9 0%
#14418 edosrecki/tools/google-cloud-sql 9 0%
#14419 fyber-engineering/skad_updater/skad_updater 9 0%
#14420 vale-ls 9 0%
#14421 manelatun/bottles/z3 8 0%
#14422 manelatun/bottles/libtasn1 8 0%
#14423 eso/pipelines/esopipe-hawki 8 0%
#14424 joedrago/repo/colorist 8 0%
#14425 topkan/tap/mmkv-cli 8 0%
#14426 microconfig/microconfig/microconfig 8 0%
#14427 sunaoka/php/php@5.5 8 0%
#14428 ironclad/brew/openresty-ic 8 0%
#14429 jonathanphilippou/tap/bunenv 8 0%
#14430 tueda/loops/ginac@1.6.7 8 0%
#14431 n-ngm/tap/ai-commit-message 8 0%
#14432 clover0/issue-agent/issue-agent 8 0%
#14433 cxwx/cxbrew/dbg-macro 8 0%
#14434 eengl/nceplibs/nceplibs-ip 8 0%
#14435 jmuncaster/header-only/cppzmq 8 0%
#14436 chainguard-dev/tap/apko 8 0%
#14437 tekwizely/tap/bash-tpl 8 0%
#14438 pointrlabs/internal/simulator8 8 0%
#14439 samzong/tap/mdctl 8 0%
#14440 usearch 8 0%
#14441 wpmed92/dawn/dawn 8 0%
#14442 dirmngr 8 0%
#14443 legnoh/etc/sticky-cli 8 0%
#14444 osx-cross/arm/arm-gcc-bin@12 8 0%
#14445 lucydodo/tap/db4subqt@5 8 0%
#14446 omotolani98/cloudcrafter/cloudcrafter 8 0%
#14447 foomo/gocontentful/gocontentful 8 0%
#14448 avfs 8 0%
#14449 sp1ritcs/tap/notekit 8 0%
#14450 kevinburke/safe/hostsfile 8 0%
#14451 hikoyu/shogi/gikou 8 0%
#14452 xalan-c 8 0%
#14453 openmohaa 8 0%
#14454 redis-cli 8 0%
#14455 sparkworx/stuff/instantclient-sdk@23 8 0%
#14456 kugarocks/markdown-finder/markdown-finder 8 0%
#14457 golgautier/tap/nvgm 8 0%
#14458 pdl 8 0%
#14459 elastic/tap/filebeat-full 8 0%
#14460 manelatun/bottles/libevent 8 0%
#14461 glktermw 8 0%
#14462 kabouzeid/formulae/zathura-pdf-mupdf 8 0%
#14463 kevemueller/ksysroot/ksysroot_i386-linux6.12-gnu@13-debian 8 0%
#14464 kabel/pecl/php-igbinary 8 0%
#14465 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd13.4@13.4-freebsd-stable 8 0%
#14466 macaulay2/tap/frobby 8 0%
#14467 jjuarez/tap-1/kubecolor 8 0%
#14468 kevemueller/ksysroot/ksysroot_powerpc-freebsd13.4@13.4-freebsd-stable 8 0%
#14469 m2700/tap/word-to-markdown 8 0%
#14470 hashmap-kz/tap/ci-template 8 0%
#14471 tkareine/chnode/chnode 8 0%
#14472 oven-sh/bun/bun@1.1.34 8 0%
#14473 ramborogers/mis/mis 8 0%
#14474 winebarrel/ecs-exec-pf/ecs-exec-pf 8 0%
#14475 goooler/repo/string-res-exporter 8 0%
#14476 kaandedeoglu/formulae/shark 8 0%
#14477 macaulay2/tap/csdp 8 0%
#14478 the-qa-company/tap/qendpoint 8 0%
#14479 kevemueller/ksysroot/ksysroot_i686-freebsd15.0@15.0-freebsd-current 8 0%
#14480 dduan/formulae/ea 8 0%
#14481 manelatun/bottles/libtiff 8 0%
#14482 z80oolong/eaw/rxvt-unicode@9.30 8 0%
#14483 patrickhoefler/tap/cloudbuildgraph 8 0%
#14484 geors/maps/sentry-native 8 0%
#14485 andrii-a8c/test-sui-move-prover/sui-move-prover 8 0%
#14486 sqlitebrowser/tap/sqlb-qt@5 8 0%
#14487 incipher/tap/shamir 8 0%
#14488 gdanko/homebrew/pstree 8 0%
#14489 kevemueller/ksysroot/ksysroot_powerpc64-freebsd15.0@15.0-freebsd-current 8 0%
#14490 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd13.4@13.4-freebsd-release 8 0%
#14491 astronomer/tap/astro@1.30.0 8 0%
#14492 superjeng1/tap/sf100mac 8 0%
#14493 kevemueller/ksysroot/ksysroot_riscv64-freebsd14.1@14.1-freebsd-release 8 0%
#14494 gibbslda 8 0%
#14495 markiannucci/jira-cli/jira-cli 8 0%
#14496 sportngin/homebrew/elasticmq 8 0%
#14497 aaguirreo/esopipelines/esopipe-efosc-recipes 8 0%
#14498 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd13.3@13.3-freebsd-release 8 0%
#14499 kevemueller/ksysroot/ksysroot_i686-freebsd14.1@14.1-freebsd-release 8 0%
#14500 cqlabs/dcm/dcm@1.22.1 8 0%
#14501 liudangyi/i386-jos-elf-gcc/i386-jos-elf-gdb 8 0%
#14502 kevemueller/ksysroot/ksysroot_powerpc-freebsd14.1@14.1-freebsd-release 8 0%
#14503 ysaxon/cliffi/cliffi 8 0%
#14504 alvaro-aguirre-cl/esopipes/esorex 8 0%
#14505 emailproxy 8 0%
#14506 orf/brew/dirscan 8 0%
#14507 tlan16/mouse-mover/mouse-mover 8 0%
#14508 manelatun/catalina/pkg-config 8 0%
#14509 shivammathur/extensions/event@7.1 8 0%
#14510 netlas-io/netlas/netlas 8 0%
#14511 babashka/brew/obb 8 0%
#14512 bincapz 8 0%
#14513 screenly/screenly-cli/screenly-cli 8 0%
#14514 routersploit 8 0%
#14515 dhth/tap/cueitup 8 0%
#14516 danmx/sigil/sigil 8 0%
#14517 manelatun/catalina/openssl@3 8 0%
#14518 marcoeidinger/formulae/swiftplantuml 8 0%
#14519 kytta/python/skyr 8 0%
#14520 writeabyte/stakz/stakz 8 0%
#14521 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd14.1@14.1-freebsd-release 8 0%
#14522 kepkin/kongctl/kongctl 8 0%
#14523 glennakamura/repo/git 8 0%
#14524 bazelbuild/tap/bazel@3.7.2 8 0%
#14525 knazarov/qemu-virgl/libangle 8 0%
#14526 openrtm/omniorb/omniorb-ssl-py313 8 0%
#14527 shopify/shopify/shopify-cli-nightly 8 0%
#14528 chenrui333/tap/secco 8 0%
#14529 bflad/tap/tfproviderdocs 8 0%
#14530 eengl/nceplibs/nceplibs-g2c 8 0%
#14531 riboseinc/checkpatch/checkpatch 8 0%
#14532 neved4/tap/gotwc 8 0%
#14533 hugo-persson/dns-cli-tools/dns-cli-tools 8 0%
#14534 heirloom-doctools 8 0%
#14535 kevemueller/ksysroot/ksysroot_powerpc64-freebsd13.4@13.4-freebsd-stable 8 0%
#14536 kevemueller/ksysroot/ksysroot_powerpc-freebsd13.4@13.4-freebsd-release 8 0%
#14537 totosuki/jmp/jmp 8 0%
#14538 brewsci/bio/panito 8 0%
#14539 pwnpad/tap/pwnpad 8 0%
#14540 bezhermoso/taps/tmux-256color 8 0%
#14541 yogaya-inc/yogaya/yogaya 8 0%
#14542 stek29/idevice/libinsn 8 0%
#14543 aws/tap/qldbshell 8 0%
#14544 mamrezb/jenkinsmaster-cli/jenkinsmaster-cli 8 0%
#14545 permadart/chicle/chicle 8 0%
#14546 gleich/taps/nuke 8 0%
#14547 z80oolong/tmux/tmux@3.5 8 0%
#14548 sylvanfranklin/srhd/srhd 8 0%
#14549 orangelckc/bili-message/bili-message 8 0%
#14550 usgerman-keyboard-layout 8 0%
#14551 nodenv/nodenv/nodenv-npm-migrate 8 0%
#14552 cytopia/tap/ffscreencast 8 0%
#14553 preendata/preen/preen 8 0%
#14554 sj14/tap/epoch 8 0%
#14555 linuxbrew/fonts/font-jetbrains-mono-nerd-font 8 0%
#14556 grimme-lab/qc/test-drive 8 0%
#14557 riesenia/utils/php@7.4 8 0%
#14558 kordamp/tap/gum 8 0%
#14559 kometen/dns-load-balancer/dns-load-balancer 8 0%
#14560 ahundt/robotics/eigen-qld 8 0%
#14561 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd15.0@15.0-freebsd-current 8 0%
#14562 nejohnson2/sdr/gr-osmosdr 8 0%
#14563 cdalvaro/tap/catboostmodel-cpp 8 0%
#14564 digitalspacestdio/common/protobuf@28.2 8 0%
#14565 moonfruit/tap/lzc-cli 8 0%
#14566 qt@5.5 8 0%
#14567 scott-guthridge/extra/libvna 8 0%
#14568 msakai/tap/mingw-w64-dwarf2 8 0%
#14569 msolve 8 0%
#14570 kevemueller/ksysroot/ksysroot_x86_64-freebsd14.2@14.2-freebsd-stable 8 0%
#14571 digitalspacestdio/nextgen-devenv/digitalspace-git-ssh 8 0%
#14572 elastic/tap/apm-server-full 8 0%
#14573 homebrew/linux-fonts/font-zed-sans 8 0%
#14574 chenrui333/tap/cf-terraforming 8 0%
#14575 ciao 8 0%
#14576 kurtosis-tech/tap/kurtosis-cli@1.4.3 8 0%
#14577 osrf/simulation/ignition-gui7 8 0%
#14578 kcaebe/dns-heaven/dns-heaven 8 0%
#14579 mocks-rs/tap/mocks 8 0%
#14580 graelo/tap/tmux-backup 8 0%
#14581 pavsap/clickhouse/clickhouse-server 8 0%
#14582 marzvrover/tap/reiniero-fpcup 8 0%
#14583 quic/quic/guestfs-tools 8 0%
#14584 outscale/tap/osc-tui 8 0%
#14585 brewsci/bio/sequencetools 8 0%
#14586 chenrui333/tap/mainframer 8 0%
#14587 0xmalvee/stax/stax 8 0%
#14588 juicedrinker/cync/cync 8 0%
#14589 kobiton/core/librdkafka 8 0%
#14590 riweston/aztx/aztx 8 0%
#14591 reactiveops/tap/rbac-lookup 8 0%
#14592 schwa/schwa/swiftlint-autodetect 8 0%
#14593 signaldevs/tap/sigex 8 0%
#14594 neved4/tap/comrak 8 0%
#14595 st3v3nmw/tap/sidekick 8 0%
#14596 brewsci/bio/rdkit 8 0%
#14597 denji/nginx/upload-nginx-module 8 0%
#14598 manelatun/bottles/python-setuptools 8 0%
#14599 chenrui333/tap/dela 8 0%
#14600 jichu4n/palm-os/palm-os-sdk 8 0%
#14601 heavywatal/tap/last-bin 8 0%
#14602 clang-format-16 8 0%
#14603 envvault/envvault/envvault 8 0%
#14604 manelatun/bottles/libnghttp2 8 0%
#14605 ipd 8 0%
#14606 dart-lang/dart/dart@3.4.2 8 0%
#14607 kimwitu++ 8 0%
#14608 paparazzi/paparazzi/ivy-c 8 0%
#14609 root@6.28.06 8 0%
#14610 semaphoreci/tap/agent 8 0%
#14611 swiftdocorg/formulae/docsetutil 8 0%
#14612 atlassian/acli/acli 8 0%
#14613 chenrui333/tap/kt 8 0%
#14614 blogc 8 0%
#14615 linuxbrew/fonts/font-symbols-only-nerd-font 8 0%
#14616 kp-diff 8 0%
#14617 stek29/idevice/libimobiledevice 8 0%
#14618 nakajima/tap/ohno 8 0%
#14619 na-trium-144/webcface/vips-lite 8 0%
#14620 fishtown-analytics/dbt/dbt@0.18.0 8 0%
#14621 designbynumbers/cantarellalab/ridgerunner 8 0%
#14622 wecraftforfun/tools/launch-tui 8 0%
#14623 cdalvaro/tap/catboost-model-cpp 8 0%
#14624 aconchillo/guile/guile-json 8 0%
#14625 spectralops/tap/teller 8 0%
#14626 szampier/pipelines/esopipe-fors 8 0%
#14627 evilmartians/lefthook/lefthook 8 0%
#14628 alvaro-aguirre-cl/esopipes/erfa 8 0%
#14629 dwcaress/mbsystem/mbsystem 8 0%
#14630 aconchillo/guile/guile-dsv 8 0%
#14631 zef 8 0%
#14632 koki-develop/tap/moview 8 0%
#14633 kevemueller/ksysroot/ksysroot_x86_64_freebsd15@15.0-freebsd-current 8 0%
#14634 malleefoul/etc/vigra 8 0%
#14635 patsoffice/tools/app-quarantine 8 0%
#14636 aconchillo/guile/guile-hall 8 0%
#14637 peter-evans/kdef/kdef 8 0%
#14638 ezmid/synkbridge/sb 8 0%
#14639 coinbase/assume-role/assume-role 8 0%
#14640 whats-the-weather 8 0%
#14641 oven-sh/bun/bun@1.2.3 8 0%
#14642 tuist/tuist/tuist@3.42.1 8 0%
#14643 jzbrooks/repo/vat 8 0%
#14644 tillitis/tkey/tkey-verification 8 0%
#14645 procore/tap/aws-ssh 8 0%
#14646 smillerdev/tap/php-uuid 8 0%
#14647 sandstorm/tap/sandstorm-yubikey-agent 8 0%
#14648 luciditi/tap/env-setup 8 0%
#14649 erdemkosk/envolve/envolve 8 0%
#14650 pangeacyber/cli/pangea 8 0%
#14651 fyi 8 0%
#14652 brewsci/bio/filtlong 8 0%
#14653 kabel/pecl/php-mailparse 8 0%
#14654 permify/tap-kivo/kivo 8 0%
#14655 johanvdhaegen/tools/tcl-tk-x11@8 8 0%
#14656 quic/quic/virglrenderer 8 0%
#14657 stakpak/stakpak/devx 8 0%
#14658 cotramarko/tools/snapvault 8 0%
#14659 protobuf@26 8 0%
#14660 platypus 8 0%
#14661 nicerloop/nicerloop/download-windows-esd 8 0%
#14662 lakefs 8 0%
#14663 atl-tw/tap/gha-notifier 8 0%
#14664 tofuuudon/ccrs/ccrs 8 0%
#14665 shivammathur/extensions/memcache@7.1 8 0%
#14666 yugabyte/tap/yb-voyager@1.8.0 8 0%
#14667 manelatun/bottles/little-cms2 8 0%
#14668 fishtown-analytics/dbt/dbt@0.19.2 8 0%
#14669 manelatun/bottles/lzo 8 0%
#14670 manelatun/bottles/libxcb 8 0%
#14671 manelatun/bottles/util-macros 8 0%
#14672 manelatun/bottles/krb5 8 0%
#14673 tuist/tuist/tuist@3.36.1 8 0%
#14674 yammerjp/tap/pdef 8 0%
#14675 skatkov/tap/stoic 8 0%
#14676 brewsci/science/openni 8 0%
#14677 v2ray/v2ray/v2ray-core 8 0%
#14678 bevane/tap/repocheck 8 0%
#14679 donngi/pipeline-lens/pipeline-lens 8 0%
#14680 shivammathur/extensions/zstd@7.1 8 0%
#14681 mongodb/brew/mongodb-community@3.4 8 0%
#14682 chenrui333/tap/gersemi 8 0%
#14683 oven-sh/bun/bun@1.0.36 8 0%
#14684 hedhyw/gherkingen/gherkingen 8 0%
#14685 tizee/personal/perl-xml-parser 8 0%
#14686 marc-shen/zathura/zathura 8 0%
#14687 szampier/pipelines/esopipe-spher-recipes 8 0%
#14688 manelatun/bottles/pixman 8 0%
#14689 boykush/tap/scraps 8 0%
#14690 stonebuddha/formulae/z3@4.8.6 8 0%
#14691 nativeos/i386-elf-toolchain/i386-elf-gdb 8 0%
#14692 digitalspacestdio/php/php73-rdkafka 8 0%
#14693 bendingspoons/tap/gandalf 8 0%
#14694 clintonsteiner/mkdocs-material/mkdocs-material 8 0%
#14695 gromgit/fuse/e2fsprogs 8 0%
#14696 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd15.0@15.0-freebsd-current 8 0%
#14697 jittering/kegs/vproxy 8 0%
#14698 manelatun/bottles/libgpg-error 8 0%
#14699 leoafarias/fvm/fvm@3.0.0 8 0%
#14700 brewsci/science/ncl 8 0%
#14701 osx-cross/arm/arm-gcc-bin@11 8 0%
#14702 shivammathur/extensions/event@7.2 8 0%
#14703 chenrui333/tap/goimports-reviser 8 0%
#14704 kevemueller/ksysroot/ksysroot_aarch64-freebsd14.2@14.2-freebsd-stable 8 0%
#14705 interfere/outdated/utica 8 0%
#14706 riseshia/x/envdb 8 0%
#14707 unbounce/taps/iidy 8 0%
#14708 utftex 8 0%
#14709 bell-sw/liberica/liberica-jdk@17-full 8 0%
#14710 yaghubyannarek/cli-picsart/cli_picsart 8 0%
#14711 umputun/apps/tg-spam 8 0%
#14712 quic/quic/libguestfs 8 0%
#14713 draftbrew/tap/py-tree-sitter-languages 8 0%
#14714 surajincloud/tools/kubectl-eks 8 0%
#14715 iamchokerman/ani-cli/ani-cli 8 0%
#14716 shivammathur/extensions/amqp@7.0 8 0%
#14717 manelatun/bottles/freetype 8 0%
#14718 stefanprodan/tap/kustomizer 8 0%
#14719 marzelpan/misc/tbb@2020.3 8 0%
#14720 mrroudyk/stone-prover/stone-prover 8 0%
#14721 jorgefuertes/executor/executor 8 0%
#14722 digitalspacestdio/php/igbinary 8 0%
#14723 sgaunet/tools/mdtohtml 8 0%
#14724 pdfc 8 0%
#14725 helpermethod/tap/p2e 8 0%
#14726 ss2165/gap/gap 8 0%
#14727 eso/pipelines/esopipe-espdr-demo 8 0%
#14728 dojofive/dojofive/cppcheck@2.10 8 0%
#14729 samtherapy/tap/awl 8 0%
#14730 cmars/tap/distrans_cli 8 0%
#14731 neved4/tap/wpscan 8 0%
#14732 gplcver 8 0%
#14733 hoedown 8 0%
#14734 fwknop-ajs 8 0%
#14735 tonyfettes/rime/librime 8 0%
#14736 maksim-paskal/tap/hcloud-k8s-ctl 8 0%
#14737 zhongruoyu/portable-ruby-aarch64-linux/glibc@2.17 8 0%
#14738 taclane/sdr/gr-iridium 8 0%
#14739 octolab/tap/godownloader 8 0%
#14740 dhth/tap/schemas 8 0%
#14741 lixiljp/saml2aws/saml2aws 8 0%
#14742 typesense/tap/typesense-server@0.25.2 8 0%
#14743 tahmid-khan/tap/miktex 8 0%
#14744 moonfruit/tap/geo 8 0%
#14745 brewsci/bio/cap3 8 0%
#14746 shivammathur/extensions/ssh2@5.6 8 0%
#14747 hikoyu/shogi/uonuma-yaneuraou 8 0%
#14748 envato/envato-iamy/iamy 8 0%
#14749 tinted-theming/tinted/ribboncurls 8 0%
#14750 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd14.2@14.2-freebsd-stable 8 0%
#14751 milvus 8 0%
#14752 gregavrbancic/hpc/nordugrid-arc 8 0%
#14753 eth-p/software/bat-extras-batpipe 8 0%
#14754 theseal/guardian-agent/guardian-agent 8 0%
#14755 mach-kernel/pkgs/launchk 8 0%
#14756 octave-app/octave-app/octave-octapp-qt5@9.1.0 8 0%
#14757 suin/suin/git-remind 8 0%
#14758 aymanbagabas/tap/shcopy 8 0%
#14759 unitex 8 0%
#14760 yugabyte/tap/yb-voyager@1.6.5 8 0%
#14761 coin-or-tools/coinor/dylp 8 0%
#14762 sparkworx/stuff/instantclient-tools@23 8 0%
#14763 kevemueller/ksysroot/ksysroot_x86_64-freebsd13.4@13.4-freebsd-release 8 0%
#14764 aleksandersh/task-tui/task-tui 8 0%
#14765 fioncat/apps/roxide 8 0%
#14766 nicerloop/nicerloop/macos-scripts 8 0%
#14767 macmade/tap/statusbar 8 0%
#14768 rsjpg 8 0%
#14769 sidaf/pentest/ikeforce 8 0%
#14770 pothosware/pothos/pothosflow 8 0%
#14771 alvaro-aguirre-cl/esopipes/esoreflex 8 0%
#14772 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd13.4@13.4-freebsd-stable 8 0%
#14773 kevemueller/ksysroot/ksysroot_powerpc-freebsd13.3@13.3-freebsd-release 8 0%
#14774 indlin/postgresql-py/postgresql-py 8 0%
#14775 vuralclk/vcunusedlocalization/vcunusedlocalization 8 0%
#14776 morpheus-lab/morpheus-versions/morpheus-release 8 0%
#14777 n0rdy/n0rdy/calcli 8 0%
#14778 tdimeco/apps/apns 8 0%
#14779 kwabenantim/chaste/hdf5-mpi@1.10 8 0%
#14780 mvisonneau/tap/vac 8 0%
#14781 openrtm/openrtm2/openrtm2-python-py310 8 0%
#14782 shivammathur/extensions/memcache@7.3 8 0%
#14783 openrtm/openrtm2/openrtm2-python-py311 8 0%
#14784 brewsci/bio/ispcr 8 0%
#14785 shivammathur/extensions/zstd@7.3 8 0%
#14786 pranil-augur/mantis/mantis 8 0%
#14787 ipatch/us-05/hello_world_c 8 0%
#14788 b-com/sft/remaken 8 0%
#14789 koddr/tap/json2csv 8 0%
#14790 shivammathur/extensions/amqp@7.1 8 0%
#14791 hugobyte/tap/dive-cli 8 0%
#14792 armangrewal007/armangrewal007/armangrewal007 8 0%
#14793 chenrui333/tap/meteor 8 0%
#14794 ymtdzzz/tap/lazypkg 8 0%
#14795 nikolainobadi/nntools/nnex 8 0%
#14796 rgf 8 0%
#14797 isacikgoz/taps/gitin 8 0%
#14798 soooverpowered/ceph-client/ceph-client 8 0%
#14799 qt-shadertools 8 0%
#14800 mongodb/brew/mongodb-enterprise@5.0 8 0%
#14801 mhanberg/zk/zk 8 0%
#14802 prx/dev-tools/prx-dev-tools 8 0%
#14803 int128/gpup/gpup 8 0%
#14804 shivammathur/extensions/xlswriter@8.5 8 0%
#14805 checkip 8 0%
#14806 fbkarsdorp/lamachine/ucto 8 0%
#14807 icpz/collection/reresolve-wireguard-dns 8 0%
#14808 sorairolake/tap/randgen 8 0%
#14809 notebutler 8 0%
#14810 gromgit/core-mojave/llvm 8 0%
#14811 manelatun/bottles/libxext 8 0%
#14812 brewsci/bio/dedup 8 0%
#14813 hazelcast/hz/hazelcast-management-center@5.6.0 8 0%
#14814 manelatun/bottles/libx11 8 0%
#14815 manelatun/bottles/fontconfig 8 0%
#14816 kurtosis-tech/tap/kurtosis-cli@0.89.0 8 0%
#14817 materializeinc/crosstools/aarch64-unknown-linux-gnu 8 0%
#14818 shivammathur/extensions/mailparse@8.5 8 0%
#14819 oven-sh/bun/bun@1.1.29 8 0%
#14820 anttiharju/app/vmatch-golangci-lint 8 0%
#14821 mdave/nektar/nektar 8 0%
#14822 dart-lang/dart/dart@2.5 8 0%
#14823 servicex-sh/tap/httpx 8 0%
#14824 rageworx/listusb/listusb 8 0%
#14825 brewsci/bio/pandaseq 8 0%
#14826 szampier/pipelines/espdr-calib 8 0%
#14827 dagger-io 8 0%
#14828 josh/tap/tmux-tokyo-night 8 0%
#14829 szampier/pipelines/cpl@7.3.2 8 0%
#14830 gabe565/tap/subcablemap-dl 8 0%
#14831 nmaahc/nmaahcmm/nmaahcmm 8 0%
#14832 tonur/telepresence-oss/telepresence-oss 8 0%
#14833 signalwire/signalwire/libks2 8 0%
#14834 cachewerk/tap/relay@8.3 8 0%
#14835 koki-develop/tap/askai 8 0%
#14836 freecad/freecad/tbb@2020_u3 8 0%
#14837 movableink/formulas/cassandra 8 0%
#14838 brewsci/bio/links-scaffolder 8 0%
#14839 homebrew/linux-fonts/font-noto-emoji 8 0%
#14840 dpogue/plasma-deps/string-theory 8 0%
#14841 caius/tap/pgmetrics 8 0%
#14842 naokiiida/42/c_formatter_42 8 0%
#14843 kevemueller/ksysroot/ksysroot_powerpcspe-freebsd14.2@14.2-freebsd-stable 8 0%
#14844 manelatun/bottles/libxau 8 0%
#14845 swift-donut 8 0%
#14846 jordanbaird/createicns/createicns 8 0%
#14847 shini4i/tap/pre-commit-makefile 8 0%
#14848 manelatun/bottles/nasm 8 0%
#14849 youhide/youhide/hidedot 8 0%
#14850 pound 8 0%
#14851 robotastic/hackrf/rtlsdr 8 0%
#14852 mrshieh-x/brew/cmcl 8 0%
#14853 kabouzeid/formulae/zathura 8 0%
#14854 manelatun/bottles/libpng 8 0%
#14855 shivammathur/extensions/uuid@7.0 8 0%
#14856 gyselroth/core/tubectl 8 0%
#14857 ynqa/tap/logu 8 0%
#14858 k1low/tap/tbls-ask 8 0%
#14859 kabel/pecl/php@8.3-xdebug 8 0%
#14860 schmosbyy/vehikl/vehikl 7 0%
#14861 chenrui333/tap/pyink 7 0%
#14862 yconalyzer 7 0%
#14863 git-autocommit-cli 7 0%
#14864 factisresearch/meqo/leveldb 7 0%
#14865 nicerloop/nicerloop/video-transcoding 7 0%
#14866 cyber-prophet/taps/cyber 7 0%
#14867 easyp-tech/tap/easyp 7 0%
#14868 jsontypedef/jsontypedef/jtd-validate 7 0%
#14869 kevemueller/ksysroot/ksysroot_x86_64-freebsd13.4@13.4-freebsd-stable 7 0%
#14870 diffblue/cbmc/cbmc@6.3.1 7 0%
#14871 influxdb@1.8.10 7 0%
#14872 homebrew/linux-fonts/font-iosevka-ss12 7 0%
#14873 manelatun/bottles/libxdmcp 7 0%
#14874 mubarak-ramadan/dir-cleaner-rust/dir-cleaner-rust 7 0%
#14875 kevemueller/ksysroot/ksysroot_loongarch64-linux-musl 7 0%
#14876 qwwdfsad/tap/hsdis 7 0%
#14877 dekker1/minizinc/chuffed 7 0%
#14878 etolbakov/taps/excalidocker 7 0%
#14879 brewsci/bio/libcuemol2 7 0%
#14880 athul/tap/hopp-cli 7 0%
#14881 gabe565/tap/cli-of-life 7 0%
#14882 brewsci/science/moab 7 0%
#14883 slp/virtiofs/virtiofsd 7 0%
#14884 stilvoid/tools/retro-sort 7 0%
#14885 homebrew/linux-fonts/font-iosevka-ss07 7 0%
#14886 localazy/tools/localazy@1 7 0%
#14887 shivammathur/extensions/gnupg@7.3 7 0%
#14888 theory/sqitch/sqitch_pg 7 0%
#14889 sidaf/pentest/simply_email 7 0%
#14890 kevemueller/ksysroot/ksysroot_armv7-linux-musleabihf 7 0%
#14891 emma1969/profanity/profanity 7 0%
#14892 frdmn/formulas/homebrew-update-notifier 7 0%
#14893 hay-kot/gotmpl-tap/gotmpl 7 0%
#14894 nikaro/tap/tabby 7 0%
#14895 slizco/i386-jos-elf-gcc/i386-jos-elf-gcc 7 0%
#14896 brewsci/bio/genewise 7 0%
#14897 shivammathur/extensions/ast@7.3 7 0%
#14898 fasttrackorg/fasttrack/fasttrack 7 0%
#14899 igir 7 0%
#14900 afeiship/jswork/gfl 7 0%
#14901 tgragnato/tap/terraform@1.8 7 0%
#14902 digitalspacestdio/php/composer@1.10 7 0%
#14903 guitmz/tools/n26 7 0%
#14904 rockset/cli/rockset 7 0%
#14905 badoo/qa-bottles/libusbmuxd 7 0%
#14906 speechly/tap/speechly 7 0%
#14907 kwallet 7 0%
#14908 derekselander/funpack/dinfo 7 0%
#14909 monkspams/f1cli/f1cli 7 0%
#14910 msg-cli 7 0%
#14911 pulseview 7 0%
#14912 proxmark/proxmark3/arm-none-eabi-gcc 7 0%
#14913 kalbasit/tap/gsts 7 0%
#14914 jduhamel/emacs-plus/emacs-plus@31 7 0%
#14915 gromgit/dev/golangci-lint@1 7 0%
#14916 chenrui333/tap/rpds-py 7 0%
#14917 mlb-rs/mlbt/mlbt 7 0%
#14918 gsl@2.1 7 0%
#14919 shipyard-run/repo/shipyard 7 0%
#14920 liujianping/tap/job 7 0%
#14921 ryooooooga/tap/croque 7 0%
#14922 easytocloud/tap/oh-my-easytocloud 7 0%
#14923 finnvoor/tools/xcc 7 0%
#14924 bep/tap/s3deploy 7 0%
#14925 moonfruit/tap/wlp-webprofile10 7 0%
#14926 openrtm/openrtm2/openrtm2-py312 7 0%
#14927 shopify/shopify/trino-jdbc 7 0%
#14928 mabdh/repo/pg_partman 7 0%
#14929 davidchall/hep/topdrawer 7 0%
#14930 px4/px4/gcc-arm-none-eabi-48 7 0%
#14931 shivammathur/extensions/opentelemetry@8.0 7 0%
#14932 samdmarshall/formulae/insert-dylib 7 0%
#14933 mopidy/mopidy/mopidy-soundcloud 7 0%
#14934 kdab/tap/gammaray-qt6 7 0%
#14935 tmex 7 0%
#14936 lachignol/lachignol/martin-solving 7 0%
#14937 lgarron/lgarron/niceplz 7 0%
#14938 jianshu93/bindash/bindash 7 0%
#14939 wa-lang/tap/wa 7 0%
#14940 bthuilot/tap/ggh 7 0%
#14941 justintime50/formulas/cronic 7 0%
#14942 pd3v/intervals/intervals 7 0%
#14943 y3owk1n/tap/nvs 7 0%
#14944 bdeb1337/bdeb1337/dsc 7 0%
#14945 lifepillar/appleii/profuse 7 0%
#14946 thomaspoignant/tap/go-feature-flag-lint 7 0%
#14947 nicerloop/nicerloop/git-credential-rbw 7 0%
#14948 kabel/pecl/php-imap 7 0%
#14949 sanjithmsk/envvault/envvault 7 0%
#14950 macsvn 7 0%
#14951 progrium/taps/topframe 7 0%
#14952 shivammathur/extensions/ast@7.2 7 0%
#14953 vapiai/vapi/sipp 7 0%
#14954 oven-sh/bun/bun@1.1.28 7 0%
#14955 asafdavid23/tap/eolctl 7 0%
#14956 superlu@5 7 0%
#14957 bbkane/tap/shovel 7 0%
#14958 cockroachdb/tap/cockroach@24.3 7 0%
#14959 kreeblah/sdl-tap/sdl_image 7 0%
#14960 mdt-secrets-management 7 0%
#14961 rnp 7 0%
#14962 tms 7 0%
#14963 marcopaganini/tap/rpn 7 0%
#14964 ryleelyman/seamstress/seamstress@1 7 0%
#14965 nishanthalagatti/strimzi-kafka-cli/strimzi-kafka-cli 7 0%
#14966 seveas/herd/herd 7 0%
#14967 fujiwara/tap/apprun-cli 7 0%
#14968 deliveroo/tools/roo-generate 7 0%
#14969 djotto/tap/djotto-scripts 7 0%
#14970 piyoppi/tap/chiritori 7 0%
#14971 z80oolong/tmux/tpm 7 0%
#14972 digitalspacestdio/php/php56-rdkafka 7 0%
#14973 neongreen/tap/jj-fzf 7 0%
#14974 dekker1/minizinc/geas 7 0%
#14975 cheapglk 7 0%
#14976 jlp04/homebrew/libticonv 7 0%
#14977 arenax-labs/tap/sai 7 0%
#14978 bucketplace/platform/mortar@1.7 7 0%
#14979 dbt-labs/dbt/dbt-snowflake@1.0.0 7 0%
#14980 tuist/tuist/tuist@4.10.0 7 0%
#14981 aidanaden/tools/canvas-sync 7 0%
#14982 chenrui333/tap/balcony 7 0%
#14983 417-72ki/tap/xcode-arch 7 0%
#14984 amateurmonke/f1-cli/f1-cli 7 0%
#14985 manelatun/bottles/xtrans 7 0%
#14986 d-pagey/mentees/mentees 7 0%
#14987 lastools 7 0%
#14988 vineet-fullstack/democli/democli 7 0%
#14989 pointrlabs/vendor/maplibre-map-renderer 7 0%
#14990 jonas-grgt/ktea/ktea 7 0%
#14991 arbourd/tap/git-get 7 0%
#14992 fredyshox/tools/screenframer 7 0%
#14993 bazelbuild/tap/bazel@7.1.0 7 0%
#14994 josh/tap/iterm2-shell-integration 7 0%
#14995 derekselander/funpack/dipc 7 0%
#14996 osrf/simulation/ignition-fuel-tools8 7 0%
#14997 nicerloop/nicerloop/git-credential-lastpass 7 0%
#14998 autobrr/mkbrr/mkbrr 7 0%
#14999 moonfruit/tap/goimports 7 0%
#15000 manelatun/catalina/gmp 7 0%
#15001 shivammathur/extensions/pdo_sqlsrv@7.1 7 0%
#15002 guessi/tap/eks-ami-finder 7 0%
#15003 azhuchkov/tools/opensearch@2.8.0 7 0%
#15004 synchronal/tap/medic 7 0%
#15005 i3ash/bin/protobuf@25 7 0%
#15006 loopperfect/lp/buckaroo@3.0.2 7 0%
#15007 krzko/tap/tvctl 7 0%
#15008 sparkworx/stuff/instantclient-precomp@23 7 0%
#15009 caarlos0/tap/jsonfmt 7 0%
#15010 sbjson 7 0%
#15011 hyperledger/fabric/fabric-tools@2.1.0 7 0%
#15012 chenhunghan/formulae/mlx-training-rs 7 0%
#15013 bramstein/webfonttools/afdko 7 0%
#15014 gromgit/tools/nsxiv 7 0%
#15015 handlename/tap/ssmwrap 7 0%
#15016 brewsci/science/wfdb 7 0%
#15017 chenrui333/tap/sdl_image 7 0%
#15018 xoolive/homebrew/jet1090 7 0%
#15019 shivammathur/extensions/event@5.6 7 0%
#15020 amar1729/formulae/pinentry-prefer-tty 7 0%
#15021 shivammathur/extensions/v8js@7.0 7 0%
#15022 conductorone/baton/baton-aws 7 0%
#15023 michenriksen/gokiburi/gokiburi 7 0%
#15024 pplatex 7 0%
#15025 fe-lang/tap/fe 7 0%
#15026 szampier/pipelines/telluriccorr 7 0%
#15027 szampier/pipelines/wcslib@7.12 7 0%
#15028 octave-app/octave-app/octave@9.4.0 7 0%
#15029 alberto-lazari/formulae/system-theme 7 0%
#15030 studioripe/retrodevhelper/retrodevhelper 7 0%
#15031 obscuretone/cairo/cairo 7 0%
#15032 instantiator/presence/cli 7 0%
#15033 derian-cordoba/secure-keys/secure-keys 7 0%
#15034 brewsci/bio/art 7 0%
#15035 quark-engine 7 0%
#15036 hay-kot/watchexec-tap/watchexec 7 0%
#15037 eddieantonio/eddieantonio/reveal 7 0%
#15038 rioriost/macocr/macocr 7 0%
#15039 manelatun/bottles/xorgproto 7 0%
#15040 mskadush/skadush/skadush-cli 7 0%
#15041 yugabyte/tap/yb-voyager@1.8.3 7 0%
#15042 homebrew/linux-fonts/font-hackgen-nerd 7 0%
#15043 noobcollective/tap/cwf 7 0%
#15044 github/bootstrap/kustomize@3.1 7 0%
#15045 jichu4n/palm-os/pilrc 7 0%
#15046 sirmspencer/tap/doit 7 0%
#15047 brewsci/bio/seqan@2 7 0%
#15048 emin017/ghostty/ghostty 7 0%
#15049 shivammathur/extensions/apcu@8.5 7 0%
#15050 shahidlatif2021/xcresultformula/xcresulttool 7 0%
#15051 infisical/get-cli/infisical@0.14.2 7 0%
#15052 hazelcast/hz/hazelcast-management-center@5.5.0 7 0%
#15053 ghaxz/tap/brb 7 0%
#15054 kevemueller/ksysroot/ksysroot_riscv64-freebsd13.4@13.4-freebsd-release 7 0%
#15055 justintime50/formulas/srvinfra 7 0%
#15056 szip 7 0%
#15057 tgtakaoka/m6809/gcc-m6809 7 0%
#15058 shivammathur/extensions/uuid@7.1 7 0%
#15059 kevemueller/ksysroot/ksysroot_powerpc-freebsd14.2@14.2-freebsd-stable 7 0%
#15060 safetyculture/tap/scli 7 0%
#15061 getquotes 7 0%
#15062 chenrui333/tap/dvm 7 0%
#15063 chenrui333/tap/umka-lang 7 0%
#15064 borkdude/brew/pod-babashka-filewatcher 7 0%
#15065 kevemueller/ksysroot/ksysroot_i686-freebsd13.3@13.3-freebsd-release 7 0%
#15066 fbkarsdorp/lamachine/libfolia 7 0%
#15067 fon-flash-cli 7 0%
#15068 thiagomg/texted/texted 7 0%
#15069 minprog/pkg/libcs50 7 0%
#15070 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd14.1@14.1-freebsd-release 7 0%
#15071 jonaslu/tools/ain 7 0%
#15072 splieth/swamp/swamp 7 0%
#15073 aykamko/tag-ag/tag-ag 7 0%
#15074 nike-inc/nike/piggyback 7 0%
#15075 manelatun/bottles/jpeg-turbo 7 0%
#15076 cyberwoven/tools/cw-cli 7 0%
#15077 kevemueller/ksysroot/ksysroot_x86_64-freebsd15.0@15.0-freebsd-current 7 0%
#15078 stevenhaddox/sshpass/sshpass 7 0%
#15079 traace/alist/alist 7 0%
#15080 kevemueller/ksysroot/ksysroot_riscv64-freebsd13.3@13.3-freebsd-release 7 0%
#15081 taylormonacelli/tools/eachdodge 7 0%
#15082 kevemueller/ksysroot/ksysroot_i586-linux-musl 7 0%
#15083 eso/pipelines/esopipe-fors-demo 7 0%
#15084 gusto/gusto/packs 7 0%
#15085 kevemueller/ksysroot/ksysroot_powerpc64le-linux-musl 7 0%
#15086 egor-kouchnarev/tap/password 7 0%
#15087 homebrew/linux-fonts/font-juliamono 7 0%
#15088 adorigi/adorigi/kaytu 7 0%
#15089 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd13.3@13.3-freebsd-release 7 0%
#15090 envoy/tools/envoy-dev-tools 7 0%
#15091 bndw/pick/pick-pass 7 0%
#15092 knqyf263/sou/sou 7 0%
#15093 manelatun/bottles/libxrender 7 0%
#15094 twilio/brew/twilio@5.19.4 7 0%
#15095 szampier/pipelines/esopipe-hawki-recipes 7 0%
#15096 szampier/pipelines/esopipe-gravity-recipes 7 0%
#15097 dduan/formulae/drstring 7 0%
#15098 onlyliujiangtao/tap/frpc 7 0%
#15099 gocardless/taps/gc-owners 7 0%
#15100 leoafarias/fvm/fvm@3.1.1 7 0%
#15101 tfblueprintgen 7 0%
#15102 chenrui333/tap/grcov 7 0%
#15103 kanmu/tools/dgw 7 0%
#15104 cartr/qt4/pyside@1.2 7 0%
#15105 aromarious/private/sidecar-launcher 7 0%
#15106 tsirysndr/tap/piratebay 7 0%
#15107 chrismear/mysql/mysql@5.7 7 0%
#15108 gromgit/dev/mint-lang 7 0%
#15109 willmac16/shed/plotjuggler 7 0%
#15110 graelo/tap/tmux-copyrat 7 0%
#15111 aws/tap/eks-anywhere@0.20 7 0%
#15112 boltapp/public/protobuf 7 0%
#15113 felin-arch/brew/snx 7 0%
#15114 cirruslabs/cli/setup-info-generator 7 0%
#15115 pkarashchenko/formulae/x86_64-xtensa-esp32s3-elf-gcc 7 0%
#15116 kevemueller/ksysroot/ksysroot_powerpc64-freebsd14.2@14.2-freebsd-stable 7 0%
#15117 enkits 7 0%
#15118 rabobank/tap/ret 7 0%
#15119 manelatun/bottles/meson 7 0%
#15120 sandstorm/tap/synco 7 0%
#15121 timdubbins/tap/tap 7 0%
#15122 sidneys/homebrew/yt-dlp 7 0%
#15123 kevemueller/ksysroot/ksysroot_riscv64-freebsd13.4@13.4-freebsd-stable 7 0%
#15124 nikaro/tap/golangci-lint-langserver 7 0%
#15125 kevemueller/ksysroot/ksysroot_mips64el-linux6.12-gnuabi64@13-debian 7 0%
#15126 shivammathur/extensions/memcache@7.2 7 0%
#15127 kevemueller/ksysroot/ksysroot_i686-freebsd13.4@13.4-freebsd-stable 7 0%
#15128 kevemueller/ksysroot/ksysroot_powerpc-freebsd15.0@15.0-freebsd-current 7 0%
#15129 graelo/tap/vale-ls 7 0%
#15130 wickles/tap/root@5 7 0%
#15131 hginsights/tap/gimme-snowflake-creds 7 0%
#15132 kevemueller/ksysroot/ksysroot_riscv64-freebsd15.0@15.0-freebsd-current 7 0%
#15133 kevemueller/ksysroot/ksysroot_powerpc64-freebsd13.4@13.4-freebsd-release 7 0%
#15134 digitalspacestdio/ngdev/digitalspace-opensearch 7 0%
#15135 ptsochantaris/tap/trailer-cli 7 0%
#15136 neved4/tap/iozone 7 0%
#15137 nxproxy 7 0%
#15138 microsoft/dev-proxy/dev-proxy-beta 7 0%
#15139 digitalspacestdio/php/php83-imagick 7 0%
#15140 kf5-karchive 7 0%
#15141 oven-sh/bun/bun@1.0.35 7 0%
#15142 rpendleton/tap/lc3tools 7 0%
#15143 homebrew/core-copy/php@7.4 7 0%
#15144 k1low/tap/deck 7 0%
#15145 backbase/m/variants@1.0.0 7 0%
#15146 mogenius/mocli-dev/mocli-dev 7 0%
#15147 tamada/tap/gixor 7 0%
#15148 termapps/tap/enquirer 7 0%
#15149 kabel/pecl/php@8.2-imagick 7 0%
#15150 h0n9/devops/cloud-secrets-manager 7 0%
#15151 enterprisedb/tap/pgd-cli 7 0%
#15152 px4/px4/foonathan-memory 7 0%
#15153 linux-noah/noah/noah 7 0%
#15154 kevemueller/ksysroot/ksysroot_powerpc64-freebsd14.1@14.1-freebsd-release 7 0%
#15155 upsolver/iceberg-diag/iceberg-diag 7 0%
#15156 stylemistake/formulae/runner 7 0%
#15157 iloveitaly/tap/hyper-focus 7 0%
#15158 iflytek/aispark/aispark 7 0%
#15159 geldata/tap/gel-cli 7 0%
#15160 bjasspa/jasspa/microemacs-binaries 7 0%
#15161 brewsci/bio/adam 7 0%
#15162 olsrd 7 0%
#15163 ipatch/us-05/hello_world_cmake 7 0%
#15164 mergermarket/tap/cdflow2 7 0%
#15165 mars-clock 7 0%
#15166 hybras/tap/dark-mode-notify 7 0%
#15167 beliven-it/tap/opsi 7 0%
#15168 rwjblue/tap/cal 7 0%
#15169 oven-sh/bun/bun@1.0.18 7 0%
#15170 sorairolake/tap/rzopfli 7 0%
#15171 truncateddinosour/dino-bar/arigram 7 0%
#15172 bruceadams/utilities/yj 7 0%
#15173 digitalspacestdio/php/composer@2.6 7 0%
#15174 oqs-provider 7 0%
#15175 gerlero/openfoam/openfoam-docker 7 0%
#15176 bedtools@2.29.2 7 0%
#15177 authzed/tap/spicedb@1.31.0 7 0%
#15178 hhru/tap/figmagen 7 0%
#15179 pokanop/pokanop/nostromo 7 0%
#15180 shopify/shopify/gnupg@2.3.6 7 0%
#15181 python3-jsonschema 7 0%
#15182 gerritvreeman/chem/platon 7 0%
#15183 mcmx385/pyvm/pyvm 7 0%
#15184 danielhsu021202/pythoncbas/pythoncbas 7 0%
#15185 slp/virtiofs/qemu-vhost 7 0%
#15186 aaaa777/tap/anytun 7 0%
#15187 vheon/homebrew/neovim-nightly 7 0%
#15188 graelo/tap/milvus-project-helper 7 0%
#15189 homebrew/linux-fonts/font-dejavu 7 0%
#15190 noxhsxrk/nox/ai-pre-commit 7 0%
#15191 nodet/scripts/git-check 7 0%
#15192 pkgxdev/made/mash 7 0%
#15193 davidchall/hep/applgrid 7 0%
#15194 blazeblogger 7 0%
#15195 puf 7 0%
#15196 shivammathur/extensions/msgpack@8.5 7 0%
#15197 oven-sh/bun/bun@1.1.18 7 0%
#15198 shivangp76/zathura/zathura 7 0%
#15199 danpashin/repo/macho_parser 7 0%
#15200 chenrui333/tap/terratag 7 0%
#15201 meanbee/tap/gc2qif 7 0%
#15202 mutagen-io/mutagen/mutagen@0.14 7 0%
#15203 gfd-dennou-club/dennou/dcl 7 0%
#15204 g-k/llamafile/llamafile 7 0%
#15205 masutaka/github-nippou/github-nippou 7 0%
#15206 artprocessors/tap/poeditor 7 0%
#15207 kometen/rusty-psql/rusty-psql 7 0%
#15208 kabel/pecl/php-amqp 7 0%
#15209 cj-bc/sonic-pi-tool/sonic-pi-tool 7 0%
#15210 cristianoliveira/tap/funzzy 7 0%
#15211 drone/drone/drone@1.5.0 7 0%
#15212 digitalspacestdio/php/php74-xhprof 7 0%
#15213 hadielyakhni/tap/brew-toy-formula 7 0%
#15214 golemfactory/golem/golem 7 0%
#15215 drake 7 0%
#15216 chenrui333/tap/wallust 7 0%
#15217 sandstorm/tap/sku 7 0%
#15218 llvm-hs/llvm/llvm-8 7 0%
#15219 log4shib 7 0%
#15220 likelion/tap/swipl 7 0%
#15221 redcode/zxe/zeta 7 0%
#15222 tkengo/highway/highway 7 0%
#15223 lachignol/lachignol/ciphercode 7 0%
#15224 grimme-lab/qc/tblite 7 0%
#15225 tearingitup786/tearingitup786/chatgpt-tui 7 0%
#15226 brewsci/bio/fastp 7 0%
#15227 p6m-dev/tap/p6m 7 0%
#15228 veccore 7 0%
#15229 mcasper/formulae/git-clean 7 0%
#15230 oven-sh/bun/bun@1.1.13 7 0%
#15231 bvoq/bvoq/psisolver 7 0%
#15232 calsranna/inspire/flit 7 0%
#15233 alejoar/tap/factorialsucks 7 0%
#15234 walles/johan/riff 7 0%
#15235 simnalamburt/x/qemu-hvf 7 0%
#15236 hckr-cli/tap/hckr 7 0%
#15237 light4/gcc_cross_compilers/i586-elf-gcc 7 0%
#15238 koekeishiya/formulae/krp 7 0%
#15239 z80oolong/wm/jwm 7 0%
#15240 anzx/deliveryeng/envelope 7 0%
#15241 sigstore/tap/gitsign-credential-cache 7 0%
#15242 noborus/tap/xlsxsql 7 0%
#15243 shivammathur/extensions/zmq@8.4 7 0%
#15244 regit 7 0%
#15245 libesmtp 7 0%
#15246 knazarov/qemu-virgl/libepoxy-angle 7 0%
#15247 replicatedhq/replicated/replicated 7 0%
#15248 druagoon/brew/git-cm 7 0%
#15249 cloudquery/tap/cloudquery@3.29.1 7 0%
#15250 octave-app/octave-app/octave-polyalloc@9.1.0 7 0%
#15251 kepler16/tap/kl 7 0%
#15252 nmdp-bioinformatics/tap/py-ard 7 0%
#15253 hazelcast/hz/hazelcast@5.2.5 7 0%
#15254 johanvdhaegen/tools/tcl-tk-x11 7 0%
#15255 jaaasonsun/emacs-plus/emacs-plus@29 7 0%
#15256 vickio/dialog/dialog-if 7 0%
#15257 jwhitehorn/brew/html2epub 7 0%
#15258 hirocaster/mozc-emacs-helper/mozc-emacs-helper 7 0%
#15259 soapybladerf 7 0%
#15260 gromgit/dev/tclreadline@8 7 0%
#15261 sparkworx/stuff/instantclient-odbc@23 7 0%
#15262 magicbell/tap/magicbell-cli 7 0%
#15263 stek29/idevice/libirecovery 7 0%
#15264 aws/tap/_aws-sam-cli 7 0%
#15265 jerilseb/tap/my-cli 7 0%
#15266 yugabyte/tap/yb-voyager@1.8.7 7 0%
#15267 cdalvaro/tap/cpp-jwt 7 0%
#15268 madh93/tap/toffu 7 0%
#15269 mvisonneau/tap/gpcd 7 0%
#15270 humble 7 0%
#15271 digitalspacestdio/php/composer@2.2 7 0%
#15272 abhishek6262/timo/timo 7 0%
#15273 scivisum/tap/ffmpeg_static 7 0%
#15274 oven-sh/bun/bun@1.0.30 7 0%
#15275 denji/nginx/brotli-nginx-module 7 0%
#15276 tekwizely/tap/bingo 7 0%
#15277 mattisg/mattisg/adblock 7 0%
#15278 bear10591/tap/mpv 7 0%
#15279 python-cycler 7 0%
#15280 schpet/tap/linear 7 0%
#15281 evg4b/tap/uncors 7 0%
#15282 brewsci/bio/mashmap 7 0%
#15283 nginx/tap/nginx-prometheus-exporter 7 0%
#15284 codeblocks 7 0%
#15285 stefanoweidmann/stw/wxformbuilder 7 0%
#15286 odnoletkov/tap/xcodeproj-format 7 0%
#15287 sensu/sensu-go/sensu-go 7 0%
#15288 eso/pipelines/esopipe-eris-demo 7 0%
#15289 koriym/deepldoc/deepldoc 7 0%
#15290 chenrui333/tap/shiroa 7 0%
#15291 greghuels/tap/git-fury 7 0%
#15292 kevemueller/ksysroot/ksysroot_aarch64-linux-musl 7 0%
#15293 zalt50/core/tklib 7 0%
#15294 gaarutyunov/pdfutils/pdfcompress 7 0%
#15295 szampier/pipelines/gsl@2.6 7 0%
#15296 ethereum/ethereum/solidity@0.8.23 7 0%
#15297 yuvalherziger/tomodo/tomodo 7 0%
#15298 shivammathur/extensions/zstd@7.4 7 0%
#15299 guile@2 7 0%
#15300 nervosnetwork/tap/libsecp256k1 7 0%
#15301 flvstreamer 7 0%
#15302 yusuf-musleh/mmar-tap/mmar 7 0%
#15303 pachyderm/tap/pachctl@1.9 7 0%
#15304 ernail/tap/labdoc 7 0%
#15305 dark-alex-17/managarr/managarr 7 0%
#15306 vergissberlin/tap/thinkport 7 0%
#15307 keithhendry/dotty/dotty 7 0%
#15308 szampier/pipelines/esopipe-uves 7 0%
#15309 cpptrace 7 0%
#15310 kobiton/core/ffmpeg 7 0%
#15311 rsteube/tap/carapace-bridge 7 0%
#15312 turing-guild/pkgs/alanone 7 0%
#15313 johanvdhaegen/tools/rust-llvm-15 7 0%
#15314 hazelcast/hz/hazelcast@5.3.7 7 0%
#15315 joseph-ravenwolfe/kubeseal-utils/kubeseal-utils 7 0%
#15316 brewsci/bio/gffread 7 0%
#15317 tbsklg/strikes/strikes 7 0%
#15318 leleliu008/fpliu/ndk-pkg 7 0%
#15319 openexr@2 7 0%
#15320 shivammathur/extensions/ssh2@7.2 7 0%
#15321 aws/tap/xray-daemon 7 0%
#15322 steffakasid/clinar/clinar 7 0%
#15323 bevanjkay/tap/tvmv 7 0%
#15324 ephread/ink/inklecate 7 0%
#15325 harleymckenzie/asc/asc-go 7 0%
#15326 dual-git 7 0%
#15327 metrumresearchgroup/tap/pkgr 7 0%
#15328 ffmpeg@4.4 7 0%
#15329 kubeval 7 0%
#15330 axiomhq/tap/axiom-loki-multiplexer 7 0%
#15331 tditlu/amiga/amigeconv 7 0%
#15332 sourcegraph/src-cli/src-cli@3.43.2 7 0%
#15333 kure 7 0%
#15334 disneystreaming/tap/aws-session-manager-plugin 7 0%
#15335 sdnts/tools/zup 7 0%
#15336 hitorisensei/taps/nibtools 7 0%
#15337 thechessdev/bb-cli/bb-cli 7 0%
#15338 ykclient 7 0%
#15339 veracode/tap/gen-ir@0.5.0-beta 7 0%
#15340 tracy_latest 7 0%
#15341 ataias/formulae/swift-hello-world 7 0%
#15342 sjorek/php/composer22-php80 7 0%
#15343 manelatun/bottles/xcb-proto 7 0%
#15344 mjakeman/gnome/gnome-builder 7 0%
#15345 indilib/indi/libcfitsio 7 0%
#15346 shurco/tap/litecart 7 0%
#15347 brewsci/science/snid 7 0%
#15348 jdimatteo/science/samtools@0.1 7 0%
#15349 gabrielhj17/tools/ytdownload 7 0%
#15350 kotahashihama/tap/pceamless 7 0%
#15351 brewsci/bio/tmalign 7 0%
#15352 alexreg/dev/rocksdb@6 7 0%
#15353 thin-edge/tedge/tedge-agent 7 0%
#15354 bagonyi/formulae/leveldb@1.22 7 0%
#15355 shivammathur/extensions/zstd@8.5 7 0%
#15356 cbenhagen/video/libmxf 7 0%
#15357 vmalloc/vmalloc/katvan 7 0%
#15358 limbario/lim/lim 7 0%
#15359 iot-technology/tap/toolkit 6 0%
#15360 imgkl/fuckoff/fuckoff 6 0%
#15361 denji/nginx/subs-filter-nginx-module 6 0%
#15362 jcable/dream/dream 6 0%
#15363 dlozynski/input-leap/input-leap 6 0%
#15364 pythonswiftlink/tools/swiftonize 6 0%
#15365 chenrui333/tap/castor 6 0%
#15366 cloudberry 6 0%
#15367 chenrui333/tap/ai-context 6 0%
#15368 quantonganh/tap/tetris-tui 6 0%
#15369 jakewharton/repo/dependency-watch 6 0%
#15370 buty4649/tap/rf 6 0%
#15371 chenrui333/tap/toolctl 6 0%
#15372 oven-sh/bun/bun@1.0.34 6 0%
#15373 paulrosania/command-home/tron 6 0%
#15374 kitagry/tap/kj 6 0%
#15375 brewsci/bio/gblocks 6 0%
#15376 spm-version-status 6 0%
#15377 steffakasid/awsclean/awsclean 6 0%
#15378 coding-hui/tap/ai-terminal 6 0%
#15379 keisisqrl/adjunct/zeta 6 0%
#15380 brotherbui/homebrew/aws 6 0%
#15381 klothoplatform/tap/klotho 6 0%
#15382 maxmindlin/rabbitui/rabbitui 6 0%
#15383 tirupats/tap/mkcd 6 0%
#15384 pillar 6 0%
#15385 manelatun/bottles/docbook 6 0%
#15386 kepler16/tap/kmono 6 0%
#15387 komiserio/komiser/komiser 6 0%
#15388 exyte/formulae/swift-format 6 0%
#15389 wysenynja/bitcoin/vanitygen 6 0%
#15390 kytta/python/bumerge 6 0%
#15391 sass/sass/sass@1.45.0-rc.2 6 0%
#15392 oven-sh/bun/bun@1.0.9 6 0%
#15393 rsvihladremio/tools/brewgen 6 0%
#15394 chanzuckerberg/tap/hvm 6 0%
#15395 bevanjkay/formulae/mas-legacy 6 0%
#15396 axelbrunger/httpd-ldap/apr-util-ldap 6 0%
#15397 brewsci/bio/ctools 6 0%
#15398 thwani47/tools/uhm 6 0%
#15399 shivammathur/extensions/sqlsrv@7.3 6 0%
#15400 aconchillo/guile/guile-ssh 6 0%
#15401 chenrui333/tap/ohy 6 0%
#15402 williamcotton/webdsl/webdsl 6 0%
#15403 john12356/my-cli/my-cli 6 0%
#15404 kabel/pecl/php-yaml 6 0%
#15405 manticoresoftware/manticore/manticoresearch 6 0%
#15406 gocardless/taps/expose-host-agent 6 0%
#15407 exercism/wren/wren-console 6 0%
#15408 tronica/tap/auteur 6 0%
#15409 chenrui333/tap/brunette 6 0%
#15410 dotzenith/tap/the-septa-times 6 0%
#15411 fluxbox 6 0%
#15412 dagu-dev/brew/dagu 6 0%
#15413 xwjdsh/tap/manssh 6 0%
#15414 kosmorro/tap/kosmorro 6 0%
#15415 shmcat 6 0%
#15416 kardwen/passepartui/passepartui 6 0%
#15417 tamada/brew/ninerules 6 0%
#15418 ynqa/tap/epiq 6 0%
#15419 ros/deps/tango-icon-theme 6 0%
#15420 mcornick/tap/clilol 6 0%
#15421 juwens/pputil/pputil 6 0%
#15422 kabel/pecl/php@8.1-mongodb 6 0%
#15423 axiomhq/tap/axiom-segment-webhook 6 0%
#15424 jeffschofield/apollo/jsapollo-experimental 6 0%
#15425 train360-corp/taps/supasecure 6 0%
#15426 turing-guild/pkgs/alanone-output 6 0%
#15427 shopify/shopify/shopify-cli@2 6 0%
#15428 mechncl/tap/marathon 6 0%
#15429 docksal/docksal/docksal 6 0%
#15430 kabel/pecl/php@8.3-apcu 6 0%
#15431 cachewerk/tap/relay@8.1 6 0%
#15432 axiomhq/tap/axiom-honeycomb-proxy 6 0%
#15433 na-trium-144/gazebo/ignition-cmake2 6 0%
#15434 aconchillo/guile/guile-lzma 6 0%
#15435 satanicantichrist/satanicantichrist/server-mrpack-to-server 6 0%
#15436 jhandguy/tap/obsidian-vault 6 0%
#15437 indilib/indi/libindi 6 0%
#15438 sj14/tap/astral 6 0%
#15439 arthur-debert/tools/dotcat 6 0%
#15440 oven-sh/bun/bun@1.1.8 6 0%
#15441 chenrui333/tap/ziggy 6 0%
#15442 mocks-rs/mocks/mocks 6 0%
#15443 pgollangi/tap/fireql 6 0%
#15444 neved4/tap/typst-ansi-hl 6 0%
#15445 appare45/tap/otel-collector 6 0%
#15446 sunggun-yu/tap/jwks-to-pem 6 0%
#15447 writefreely 6 0%
#15448 digitalspacestdio/php/php71-yaml 6 0%
#15449 digitalspacestdio/php/php70-yaml 6 0%
#15450 putdotio/putio-sync/putio-sync 6 0%
#15451 mediaarea/mediaarea/rawcooked 6 0%
#15452 sgaunet/tools/jwt-cli 6 0%
#15453 edspc/extended/composer@2.2 6 0%
#15454 shellspec/shellspec/shellspec 6 0%
#15455 inflation/stew/bestsource 6 0%
#15456 hybras/tap/pijul 6 0%
#15457 keys-pub/tap/keys 6 0%
#15458 helix-gpt-deno 6 0%
#15459 manelatun/bottles/libmpc 6 0%
#15460 batect/batect/batect-zsh-completion 6 0%
#15461 kabel/pecl/php@8.2-couchbase 6 0%
#15462 camilogarcialarotta/brews/kboard 6 0%
#15463 startergo/qemu-virgl/libepoxy-angle 6 0%
#15464 bell-sw/liberica/liberica-jdk@21 6 0%
#15465 brewsci/bio/gfakluge 6 0%
#15466 janritter/terrastate/terrastate 6 0%
#15467 serverscom/serverscom/srvctl 6 0%
#15468 chenrui333/tap/await 6 0%
#15469 sektioneins/tap/scd-pkcs11 6 0%
#15470 fast-cli 6 0%
#15471 saibit-tech/tap/ogc-cli@0.0.2 6 0%
#15472 cuotos/tap/outstanding-prs 6 0%
#15473 brewsci/bio/velvetoptimiser 6 0%
#15474 pandoks/tap/pnpm@8 6 0%
#15475 brewsci/bio/fastq-pair 6 0%
#15476 deus0ww/tap/aom 6 0%
#15477 dart-lang/dart/dart@3.1.1 6 0%
#15478 lifepillar/appleii/mach-kernel-cadius 6 0%
#15479 cqlabs/dcm/dcm@1.23.1 6 0%
#15480 catesandrew/tap/gcc 6 0%
#15481 retro-sort 6 0%
#15482 sidaf/pentest/windows-exploit-suggester 6 0%
#15483 noobaa/noobaa/noobaa-core 6 0%
#15484 dynisland 6 0%
#15485 gcc@7.3 6 0%
#15486 chanzuckerberg/tap/blessclient@1 6 0%
#15487 elasticpath/mvnmin/mvnmin 6 0%
#15488 brewsci/bio/kma 6 0%
#15489 wangle201210/dt/dt 6 0%
#15490 kenyhenry/iptables_cli/iptables_cli 6 0%
#15491 open-component-model/tap/ocm@0.19.0 6 0%
#15492 chenrui333/tap/foy 6 0%
#15493 manelatun/bottles/p11-kit 6 0%
#15494 darthbenro008/upstash-redis-local/upstash-redis-local 6 0%
#15495 chenrui333/tap/infraspec 6 0%
#15496 lii-enac/repo/djnn-cpp 6 0%
#15497 taiki-e/tap/cargo-minimal-versions 6 0%
#15498 manelatun/bottles/libassuan 6 0%
#15499 postgresql13.13 6 0%
#15500 coatl-dev/coatl-dev/jython@2.7.2 6 0%
#15501 eso/pipelines/esopipe-harps-demo 6 0%
#15502 ksysoev/wsget/wsget 6 0%
#15503 shivammathur/extensions/lua@5.6 6 0%
#15504 chenrui333/tap/terraform-iam-policy-validator 6 0%
#15505 cpcready 6 0%
#15506 abhinav/tap/tmux-fastcopy 6 0%
#15507 nicerloop/nicerloop/optic 6 0%
#15508 manelatun/bottles/pinentry 6 0%
#15509 datagrail/brew/openresty 6 0%
#15510 jpoon/oci-cli/oci-cli 6 0%
#15511 alvaro-aguirre-cl/esopipes/telluriccorr 6 0%
#15512 brewforge/extras/wthrr 6 0%
#15513 aide-qc/deploy/qcor 6 0%
#15514 betterdiscordctl 6 0%
#15515 chenrui333/tap/gowebly 6 0%
#15516 chenrui333/tap/cai 6 0%
#15517 homebrew/linux-fonts/font-iosevka-ss14 6 0%
#15518 eth-p/software/bat-extras-batwatch 6 0%
#15519 bazelbuild/tap/bazel@7.1.1 6 0%
#15520 astronomer/tap/astro@0.29.1 6 0%
#15521 knazarov/qemu-virgl/virglrenderer 6 0%
#15522 xtensa-lx106-elf-gcc 6 0%
#15523 cole-trapnell-lab/trapnell/r-openmp 6 0%
#15524 nikaro/tap/sqls 6 0%
#15525 shivammathur/php/php@8.3.0 6 0%
#15526 ezchi/missingpiece/systemc 6 0%
#15527 askgitdev/treequery/treequery 6 0%
#15528 ace-design/mcscert/jpipe 6 0%
#15529 otiai10/tap/amesh 6 0%
#15530 bendzae/gstack/gstack 6 0%
#15531 plumming/tap/dx 6 0%
#15532 chenrui333/tap/protodep 6 0%
#15533 raystack/tap/stencil 6 0%
#15534 faithoflifedev/easy_onvif/onvif 6 0%
#15535 alamofire/alamofire/firewalk 6 0%
#15536 steadybit/steadybit-debug/steadybit-debug 6 0%
#15537 coteditor/coteditor/cot 6 0%
#15538 teaxyz/pkgs/pkgx 6 0%
#15539 brewsci/bio/dwgsim 6 0%
#15540 hack-different/jailbreak/macvdmtool 6 0%
#15541 tditlu/amiga/amiga-gcc 6 0%
#15542 dhruv-gambhir/journal/journal 6 0%
#15543 ksk001100/ruget/ruget 6 0%
#15544 ensembl/external/exonerate22 6 0%
#15545 master-g/tap/i18n 6 0%
#15546 brewforge/extras/termscp 6 0%
#15547 teamextension/updatedep/updatedep 6 0%
#15548 mununki/tools/gqlmerge 6 0%
#15549 gzagatti/tap/jupyterlab 6 0%
#15550 nostorg/nostr/algia 6 0%
#15551 manelatun/bottles/libidn2 6 0%
#15552 sjorek/php/composer27-php73 6 0%
#15553 gmpify/core/qemu 6 0%
#15554 cajias/tools/extract-audio 6 0%
#15555 artginzburg/tap/barhide 6 0%
#15556 shivammathur/extensions/yaml@8.5 6 0%
#15557 cedricblondeau/cedricblondeau/world-cup-2022-cli-dashboard 6 0%
#15558 viqueen/labset/git-workspaces 6 0%
#15559 octave-app/octave-app/octave@9.1.90 6 0%
#15560 taclane/sdr/acarsdec 6 0%
#15561 brewsci/bio/alder 6 0%
#15562 twilio/brew/twilio@3.4.1 6 0%
#15563 manelatun/bottles/libgcrypt 6 0%
#15564 manelatun/bottles/gnutls 6 0%
#15565 skynet-core/skynet/adaptive-cpp 6 0%
#15566 dekker1/minizinc/pumpkin 6 0%
#15567 owntracks/recorder/recorder 6 0%
#15568 gadomski/gadomski/cpd 6 0%
#15569 manelatun/bottles/libusb 6 0%
#15570 blacktop/tap/bindiff-rs 6 0%
#15571 jamf/tap/rendr 6 0%
#15572 4kq-net/tap/devops 6 0%
#15573 charleskoko/tools/check-data-extractor 6 0%
#15574 abridoux/formulae/scout 6 0%
#15575 devmegablaster/devmegablaster/pswt 6 0%
#15576 photosynth-inc/tap/aws-google-login 6 0%
#15577 nextfire/tap/garage 6 0%
#15578 shivammathur/extensions/mcrypt@8.5 6 0%
#15579 shivammathur/extensions/psr@8.2 6 0%
#15580 ydcv 6 0%
#15581 clitool2025/tap/akctool-shell 6 0%
#15582 cfoust/taps/sour 6 0%
#15583 allenap/utils/withd 6 0%
#15584 libvirt@8.0 6 0%
#15585 lucydodo/tap/db4subsqlitefts@5 6 0%
#15586 celsiusnarhwal/htt/rm-trash 6 0%
#15587 srkomodo/tap/shadowfox-updater 6 0%
#15588 lockfile-progs 6 0%
#15589 blacknon/lssh/lssh 6 0%
#15590 chenrui333/tap/gerust 6 0%
#15591 nicerloop/nicerloop/plistwatch 6 0%
#15592 homebrew/linux-fonts/font-ibm-plex 6 0%
#15593 davidchevallier/tap/terraform 6 0%
#15594 shivammathur/extensions/uuid@7.3 6 0%
#15595 yukihirop/tap/ultraman 6 0%
#15596 rosingrind/kak-tree-sitter/ktsctl 6 0%
#15597 matthewbolanos/w3m/w3m 6 0%
#15598 kmonad 6 0%
#15599 shivammathur/extensions/protobuf@7.2 6 0%
#15600 z80oolong/tmux/tmux-ncurses@6.2 6 0%
#15601 peaceiris/tap/robotstxt 6 0%
#15602 scnbrew/scnprojectsetup/scnprojectsetup 6 0%
#15603 kwabenantim/chaste/sundials 6 0%
#15604 igoticecream/enjarify/enjarify 6 0%
#15605 cqlabs/dcm/dcm@1.19.0 6 0%
#15606 shivammathur/extensions/swoole@7.0 6 0%
#15607 ford-bedrock/bedrock/quarry 6 0%
#15608 tschoonj/tap/xrmc 6 0%
#15609 manelatun/bottles/openldap 6 0%
#15610 kevemueller/ksysroot/ksysroot_powerpc64-freebsd13.3@13.3-freebsd-release 6 0%
#15611 go-go-golems/go-go-go/escuse-me 6 0%
#15612 manelatun/bottles/nettle 6 0%
#15613 gettengineering/tap/prism 6 0%
#15614 moorara/brew/gocert 6 0%
#15615 homebrew/linux-fonts/font-anonymous-pro 6 0%
#15616 brewsci/bio/blast@2.2 6 0%
#15617 patthemav/custom/clang-format@18 6 0%
#15618 bzl 6 0%
#15619 4rbor/tq/tq 6 0%
#15620 openresty/brew/openresty-openssl 6 0%
#15621 akx/things/paulstretch 6 0%
#15622 tech-thinker/tap/sws 6 0%
#15623 ahoy-cli/tap/ahoy 6 0%
#15624 stencilframe/autopilot/autopilot 6 0%
#15625 dotzenith/tap/avatarsay 6 0%
#15626 grumbling-tom/tom-test-tap/gdal 6 0%
#15627 nimblemarkets/tap/dbn-go 6 0%
#15628 alvaro-aguirre-cl/esopipes/esopipe-espresso 6 0%
#15629 sidaf/pentest/praeda 6 0%
#15630 del-systems/legacy/openssl-legacy@1.1 6 0%
#15631 toshimaru/nyan/nyan 6 0%
#15632 dotzenith/tap/lovesay 6 0%
#15633 shivammathur/extensions/gearman@8.5 6 0%
#15634 kevemueller/ksysroot/ksysroot_x86_64-freebsd14.1@14.1-freebsd-release 6 0%
#15635 electblake/awsp/awsp 6 0%
#15636 warpdl/tap/warpdl 6 0%
#15637 cadubentzen/mkvdump/mkvdump 6 0%
#15638 macaulay2/tap/mpsolve 6 0%
#15639 digitalspacestdio/php/php73-yaml 6 0%
#15640 nikaro/tap/jsonnet-language-server 6 0%
#15641 randallreedjr/git_swap/git_swap 6 0%
#15642 kevemueller/ksysroot/ksysroot_aarch64-netbsd10.0@10.0-netbsd 6 0%
#15643 thejumpcloud/tap/aws-elevate 6 0%
#15644 torchbox/tap/buckup 6 0%
#15645 david0/audio/x42-plugins 6 0%
#15646 shivammathur/extensions/lua@7.4 6 0%
#15647 manelatun/catalina/mpdecimal 6 0%
#15648 kevemueller/ksysroot/ksysroot_x86_64-freebsd13.3@13.3-freebsd-release 6 0%
#15649 nicerloop/nicerloop/ebusd 6 0%
#15650 tillitis/tkey/tkey-sign 6 0%
#15651 kcl-lang/tap/kcl@0.10.0 6 0%
#15652 florian-sonnenschein-gcx/lynis/lynis-gcx 6 0%
#15653 manelatun/catalina/xz 6 0%
#15654 tehzz/n64-dev/mips-linux-gnu-binutils 6 0%
#15655 jimeh/tap/macos-battery-exporter 6 0%
#15656 aadhi_damask 6 0%
#15657 szampier/pipelines/pycpl 6 0%
#15658 quantonganh/tap/chatgpt-tui 6 0%
#15659 mfschumann/tap/btrbk 6 0%
#15660 geors/maps/fmt@10 6 0%
#15661 jabenninghoff/edge/radiosh 6 0%
#15662 sallfarr77/ossh/ossh 6 0%
#15663 alvaro-aguirre-cl/esopipes/esopipe-kmos 6 0%
#15664 guessi/tap/eks-managed-node-groups 6 0%
#15665 kabel/pecl/php-couchbase 6 0%
#15666 walteh/tap/timebox 6 0%
#15667 manelatun/bottles/icu4c 6 0%
#15668 claui/public/aws-credential-1password 6 0%
#15669 tigrisdata/tigris/tigris-cli 6 0%
#15670 sapasapasapa/tap/tfapp 6 0%
#15671 szampier/pipelines/esopipe-giraf 6 0%
#15672 homebrew/linux-fonts/font-hackgen 6 0%
#15673 outblocks/tap/ok 6 0%
#15674 upamune/tap/mysql-explain-analyzer 6 0%
#15675 indirect/tap/cronitor 6 0%
#15676 rkabrick/sst/sst 6 0%
#15677 chenasraf/tap/gi_gen 6 0%
#15678 gadomski/gadomski/stac 6 0%
#15679 mchmarny/vimp/vimp 6 0%
#15680 vincemann/repo/subtitle-buddy-dev-mac 6 0%
#15681 shivammathur/extensions/memcache@7.0 6 0%
#15682 lucas-albers-lz4/python-m1/python@3.12 6 0%
#15683 sidneys/homebrew/ffmpeg-iina 6 0%
#15684 aws/tap/eks-anywhere@0.19 6 0%
#15685 brewsci/bio/alfred 6 0%
#15686 springload/tools/aws-ssh 6 0%
#15687 thecasualcoder/stable/lazy-connect 6 0%
#15688 manabusakai/tap/tdtidy 6 0%
#15689 noborus/tap/mdtsql 6 0%
#15690 szampier/pipelines/uves-calib 6 0%
#15691 fluxtah/ask/ask 6 0%
#15692 blvrd/tap/manifold 6 0%
#15693 kmalinich/brotli-nginx-module/brotli-nginx-module 6 0%
#15694 loomhq/tap/loomctl 6 0%
#15695 shivammathur/extensions/ast@8.5 6 0%
#15696 caarlos0/tap/clone-org 6 0%
#15697 io41/tap/ipass 6 0%
#15698 mikessh/repseq/migmap-linux 6 0%
#15699 tokyo-dystopia 6 0%
#15700 wtsnjp/tex2img/tex2img 6 0%
#15701 ff_poly 6 0%
#15702 42dot/brew/vaulter 6 0%
#15703 connor-27/submodule-kit/git-alias 6 0%
#15704 recon-tools/recon-tools/domain-recon-rs 6 0%
#15705 remino/remino/mkprj 6 0%
#15706 goodeggs/devops/ranch 6 0%
#15707 tudelft3d/software/city4cfd 6 0%
#15708 n-arno/thingies/ezjwt 6 0%
#15709 xbee-comm 6 0%
#15710 digitalspacestdio/php/php82-xhprof 6 0%
#15711 sendgrid-ops/workstation/aws-okta 6 0%
#15712 youyo/tap/awssh 6 0%
#15713 chenrui333/tap/cargo-sort 6 0%
#15714 vndr/jv/jv 6 0%
#15715 yugabyte/tap/yb-voyager@1.6.0 6 0%
#15716 surrealdb/tap/surreal-nightly 6 0%
#15717 zhistory 6 0%
#15718 incident-io/taps/tap-incident 6 0%
#15719 efa2d19/tap/fzf-git-extensions 6 0%
#15720 sonic-screwdriver-cd/sd-local/sd-local 6 0%
#15721 ualbertaaltlab/hfst/hfst 6 0%
#15722 pothosware/pothos/libosmosdr 6 0%
#15723 gerritforge/gerrit/gerrit@3.11.0 6 0%
#15724 getstrm/cli/pace 6 0%
#15725 rafatmeraz/bb/bb 6 0%
#15726 itd-ndr/tap/hello-world 6 0%
#15727 bolt 6 0%
#15728 bl4cc4t/vsplugins/vsp-fmtconv 6 0%
#15729 smlsharp/smlsharp/massivethreads 6 0%
#15730 dxtich/core/colima@0.5.4 6 0%
#15731 tech-thinker/tap/gozen 6 0%
#15732 lidaobing/repo/libgedit-tepl 6 0%
#15733 nodenv/nodenv/nodenv-vars 6 0%
#15734 jonchang/biology/tact 6 0%
#15735 legnoh/etc/focus-cli 6 0%
#15736 afnanenayet/helm-renderer/helm-renderer 6 0%
#15737 denji/nginx/headers-more-nginx-module 6 0%
#15738 soup-ms/makedir/makedir 6 0%
#15739 olets/tap/zsh-test-runner 6 0%
#15740 davidjdixon/assume-role/assume-role 6 0%
#15741 mysticlgbt/made/metalgpu 6 0%
#15742 kwo/tools/dayone2md 6 0%
#15743 scm-manager/tap/scm-cli 6 0%
#15744 peledies/formulae/ktx 6 0%
#15745 cxwx/cxbrew/marisatrie 6 0%
#15746 oven-sh/bun/bun@1.1.30 6 0%
#15747 b4nst/tap/turbogit 6 0%
#15748 na-trium-144/gazebo/ignition-math6 6 0%
#15749 mikescher/tap/ffsclient 6 0%
#15750 dagger/tap/dagger@0.2 6 0%
#15751 aaguirreo/esopipelines/esopipe-efosc 6 0%
#15752 brewsci/science/flexbar 6 0%
#15753 infomaker/core/pagehub-client 6 0%
#15754 gwinstanley/utils/libmysofa 6 0%
#15755 fbkarsdorp/lamachine/timbl 6 0%
#15756 jftuga/tap/dtdiff 6 0%
#15757 surjikal/tap/git-gui 6 0%
#15758 vangie/formula/fun 6 0%
#15759 bell-sw/liberica/liberica-jdk@11-full 6 0%
#15760 libnfnetlink 6 0%
#15761 tdsmith/ham/xastir 6 0%
#15762 openresty/nginx/openresty 6 0%
#15763 na-trium-144/gazebo/ignition-tools 6 0%
#15764 zarox28/apps/adbenq 6 0%
#15765 brewsci/bio/gmap-gsnap 6 0%
#15766 na-trium-144/gazebo/gazebo11 6 0%
#15767 zuisong/tap/maven3 6 0%
#15768 byrdocs-cli 6 0%
#15769 brewforge/extras/carapace 6 0%
#15770 johanvdhaegen/tools/copybara 6 0%
#15771 deviceinsight/packages/kafkactl-azure-plugin 6 0%
#15772 dlr-ts/sumo/sumo@1.2.0 6 0%
#15773 kevemueller/ksysroot/ksysroot_riscv64-freebsd14.2@14.2-freebsd-stable 6 0%
#15774 codacy/tap/codacy-analysis-cli 6 0%
#15775 ariehm/helloworld/hw 6 0%
#15776 thegeeklab/tap/git-sv 6 0%
#15777 ciuliene/codecover/codecover 6 0%
#15778 abroudoux/tap/branch 6 0%
#15779 instill-ai/tap/inst 6 0%
#15780 captcrowbar/keckcaves/vrui 6 0%
#15781 freecad/freecad/opencascade@7.5.3 6 0%
#15782 cockroachdb/tap/cockroach@22.2 6 0%
#15783 eso/pipelines/esopipe-molecfit-demo 6 0%
#15784 suzuki-shunsuke/asciinema-trim/asciinema-trim 6 0%
#15785 digitalspacestdio/php/php74-imap 6 0%
#15786 sbresin/oldxmlsec/openssl@1.1 6 0%
#15787 brewsci/bio/bcalm 6 0%
#15788 fornwall/tap/luau-lsp 6 0%
#15789 stevedylandev/snippets-cli/snippets-cli 6 0%
#15790 linuxbrew/fonts/font-alegreya 6 0%
#15791 dciabrin/ngdevkit/ngdevkit-toolchain 6 0%
#15792 fhz01/patchee/patchee 6 0%
#15793 simon-engledew/gocmdpev/gocmdpev 6 0%
#15794 oryx2/tap/mysql@8.4 6 0%
#15795 sidaf/pentest/fuzzdb 6 0%
#15796 efmrl/cli/efmrl 6 0%
#15797 emorydunn/gif-off/gif-off 6 0%
#15798 materializeinc/crosstools/x86_64-unknown-linux-gnu 6 0%
#15799 tj800x/pacman/pacman@6.0.1 6 0%
#15800 nashdean/dirmap/dirmapper 6 0%
#15801 isl@0.18 6 0%
#15802 ficy 6 0%
#15803 tomkyle/negatives/positive 6 0%
#15804 avpres/formulae/spoiledapples 6 0%
#15805 codecademy-engineering/bootstrap/helmfile@0.144.0 6 0%
#15806 eso/pipelines/esopipe-isaac 6 0%
#15807 yhzion/tap/cody 6 0%
#15808 chenrui333/tap/vercel-serve 6 0%
#15809 kiraum/cody/cody 6 0%
#15810 jmhobbs/tools/authy-cli 6 0%
#15811 stek29/idevice/libfragmentzip 6 0%
#15812 swordfaith/pentest/wfuzz 6 0%
#15813 olofj/hambrew/wfview 6 0%
#15814 jmoney/server-utils/echo-server 6 0%
#15815 rosingrind/kak-tree-sitter/kak-tree-sitter 6 0%
#15816 gh-dash 6 0%
#15817 druagoon/brew/icli 6 0%
#15818 jimmymasaru/repo/fp-gen 6 0%
#15819 shivammathur/extensions/event@7.0 6 0%
#15820 erlang@23 6 0%
#15821 sj14/tap/kubedump 6 0%
#15822 aerickson/recipes/mtr085 6 0%
#15823 homebrew/linux-fonts/font-input 6 0%
#15824 daguflow/brew/dagu 6 0%
#15825 manelatun/bottles/cairo 6 0%
#15826 mwgroup-io/doppelganger/doppelganger_assistant 6 0%
#15827 alvaro-aguirre-cl/esopipes/esopipe-crires 6 0%
#15828 brewsci/num/brewsci-metis 6 0%
#15829 fumiyas/echo-sd/echo-sd 6 0%
#15830 na-trium-144/gazebo/tinyxml1 6 0%
#15831 hyperledger/fabric/fabric-tools@1.1.1 6 0%
#15832 manikmagar/tap/mulefd 6 0%
#15833 manala/tap/manala 6 0%
#15834 johanvdhaegen/tools/pikchr 6 0%
#15835 philipparndt/kubectx/kubectx 6 0%
#15836 nailuogg/core/libmps 6 0%
#15837 nailuogg/tap/libmps 6 0%
#15838 chenrui333/tap/yuque-dl 6 0%
#15839 sf100mac 6 0%
#15840 ggp1/tap/kure 6 0%
#15841 rvigo/cl/cl 6 0%
#15842 manelatun/bottles/npth 6 0%
#15843 manelatun/bottles/libksba 6 0%
#15844 manelatun/bottles/unbound 6 0%
#15845 covalenthq/covalent/orbital 6 0%
#15846 hoothin/rust_clock/rust_clock 6 0%
#15847 jadolg/tap/jwt-decode 6 0%
#15848 manelatun/bottles/gettext 6 0%
#15849 djotto/tap/mp3guessenc 6 0%
#15850 sydbox 6 0%
#15851 oncletom/brew/cpdf 6 0%
#15852 kabel/pecl/php@8.2-mongodb 6 0%
#15853 kevinalbs/brew/libmongocrypt 6 0%
#15854 markmals/media-server/vimeo-dl 6 0%
#15855 dataorchestration/orcasheets/orcasheets 6 0%
#15856 jonof/kenutils/kzipmix 6 0%
#15857 manelatun/bottles/python@3.11 6 0%
#15858 shivammathur/extensions/psr@8.5 6 0%
#15859 zwpaper/tabby/tabby 6 0%
#15860 robotoperator/demo/demo 6 0%
#15861 bl4cc4t/vsplugins/vsp-descale 6 0%
#15862 kitchen-sync-mariadb@10.6 6 0%
#15863 pdemartino/tap/springboot-startup-stats 6 0%
#15864 nats-io/nats-tools/nats-top 6 0%
#15865 microsoft/mssql-release/mssql-tools@17.9.1.1 6 0%
#15866 kour1er/repo/dovecot-dev 6 0%
#15867 eso/pipelines/esopipe-efosc-demo 6 0%
#15868 nintexplatform/versions/kubernetes-cli@1.20.0 6 0%
#15869 alvaro-aguirre-cl/esopipes/esopipe-uves 6 0%
#15870 manelatun/bottles/gnupg 6 0%
#15871 brewsci/science/h5utils 6 0%
#15872 dg-cli-core 6 0%
#15873 oven-sh/bun/bun@1.0.23 6 0%
#15874 tailcallhq/code-forge/code-forge 6 0%
#15875 homebrew/linux-fonts/font-iosevka-ss08 6 0%
#15876 brewsci/bio/bifrost 6 0%
#15877 tuist/tuist/tuist@4.3.4 6 0%
#15878 shivammathur/extensions/zmq@7.4 6 0%
#15879 delta 6 0%
#15880 chenrui333/tap/ferret 6 0%
#15881 daytonaio/cli/daytona 6 0%
#15882 xastir 6 0%
#15883 kevemueller/ksysroot/ksysroot_aarch64-linux6.12-musl@99edge-alpine 6 0%
#15884 lfortran 6 0%
#15885 davidchall/hep/thepeg 6 0%
#15886 zegl/tap/git-shit 6 0%
#15887 avoidik/qemu-spice/virglrenderer 6 0%
#15888 roycald245/tap/yogev 6 0%
#15889 helix-gpt 6 0%
#15890 kabel/pecl/php@8.1-couchbase 6 0%
#15891 lou1306/formal/syfco 6 0%
#15892 linuxbrew/fonts/font-alegreya-sans 6 0%
#15893 chenrui333/tap/git-vain 6 0%
#15894 sjorek/php/composer22-php73 6 0%
#15895 out-of-cheese-error/gooseberry/gooseberry 6 0%
#15896 nurmuhammad701/tools/immortals 6 0%
#15897 riku-yanagihashi/ltd/ltd 6 0%
#15898 manelatun/bottles/libssh2 6 0%
#15899 arikaton/unity3d/unity3d 6 0%
#15900 chenrui333/tap/backport 6 0%
#15901 homebrew/linux-fonts/font-andale-mono 6 0%
#15902 nicolaygerold/shell-sage/shell_sage 6 0%
#15903 jamesjoshuahill/tap/git-co-author 6 0%
#15904 suzuki-shunsuke/cmdx/cmdx 6 0%
#15905 octave-app/octave-app/octave-octapp@8.4.0 6 0%
#15906 capicuadev/sshpass/sshpass 6 0%
#15907 kevemueller/ksysroot/ksysroot_aarch64-freebsd13@13.3-freebsd-release 6 0%
#15908 tolgaozen/tap/combo 6 0%
#15909 atk 6 0%
#15910 cqlabs/dcm/dcm@1.26.2 6 0%
#15911 yumafuu/tap/ghq-fzf 6 0%
#15912 brewsci/bio/das_tool 6 0%
#15913 szampier/pipelines/esopipe-eris 6 0%
#15914 brewsci/bio/blat 6 0%
#15915 uvop/memorix/memorix 6 0%
#15916 freecad/freecad/swig@4.1.1 6 0%
#15917 upiter/uasm/uasm 6 0%
#15918 justinmayer/tap/ffmpeg 6 0%
#15919 gromgit/fuse/dwarfs-fuse-mac 6 0%
#15920 chenrui333/tap/tickrs 6 0%
#15921 ryanfb/alicevision/alicevision 6 0%
#15922 oven-sh/bun/bun@1.0.2 6 0%
#15923 zerocorebeta/core/option-k 6 0%
#15924 chenrui333/tap/tlint 6 0%
#15925 paxosglobal/private/bastion-request 6 0%
#15926 fnstruct/tap/f-micro 6 0%
#15927 neved4/tap/dgen 6 0%
#15928 commandplaneio/copl/copl 6 0%
#15929 ladicle/toggl/toggl 6 0%
#15930 cloudfoundry-community/cf/genesis 6 0%
#15931 marthydavid/sshpass/sshpass 6 0%
#15932 sidaf/pentest/sslscan-static 6 0%
#15933 llmdog 6 0%
#15934 8ta4/extension/extension 6 0%
#15935 hikoyu/shogi/elmo 6 0%
#15936 bazelbuild/tap/bazel@4.2.0 6 0%
#15937 moonfruit/tap/ngrrram 6 0%
#15938 tampakrap/tap/crossplane 6 0%
#15939 salsadigitalauorg/shipshape/shipshape 6 0%
#15940 timidrobot/tap/cmc 6 0%
#15941 browniebroke/tap/stsmfa-cli 6 0%
#15942 del-systems/legacy/mysql-client-legacy@5.7 6 0%
#15943 arvatoaws-labs/tap/sfn-ng 6 0%
#15944 chenrui333/tap/bytebox 6 0%
#15945 sjmulder/tap/nostt 6 0%
#15946 dflib/tap/jjava 6 0%
#15947 osrf/simulation/ignition-sensors7 6 0%
#15948 share-secrets-safely/cli/sheesy 6 0%
#15949 n0rdy/n0rdy/remindme 6 0%
#15950 kaumnen/kaumnen/cipr 6 0%
#15951 eblot/armeabi/arm-none-eabi-gdb 6 0%
#15952 macmusicplayer 6 0%
#15953 akito-n/dosukoi/dosukoi 6 0%
#15954 mysqto/coretemp/coretemp 6 0%
#15955 betterexception/bex/bex 6 0%
#15956 multi-gitter 6 0%
#15957 brewsci/bio/maxbin2 6 0%
#15958 campcounselor 6 0%
#15959 liferea 6 0%
#15960 fahasch/formulae/xfig 6 0%
#15961 chenrui333/tap/amoco 6 0%
#15962 mosra/magnum/magnum-integration 6 0%
#15963 oven-sh/bun/bun@1.1.27 6 0%
#15964 cloudflare/cloudflare/nginx 6 0%
#15965 homebrew/linux-fonts/font-ibm-plex-sans 6 0%
#15966 merschformann/gotz/gotz 6 0%
#15967 coin-or-tools/coinor/vol 6 0%
#15968 chenrui333/tap/go-zzz 6 0%
#15969 captainhook-go/captainhook/captainhook 6 0%
#15970 cartesi-machine 6 0%
#15971 twilio/brew/twilio@3.6.0 6 0%
#15972 kometen/dns-load-balancer/dns_load_balancer 6 0%
#15973 ciphey/ciphey/ciphey 6 0%
#15974 gschool/learn/learn 6 0%
#15975 hukl/tap/hostmux 6 0%
#15976 mjakeman/gnome/vte 6 0%
#15977 vasm 6 0%
#15978 simnalamburt/x/input-source 6 0%
#15979 hyxf/cli/gitiles 6 0%
#15980 eclipse-zenoh/zenoh/zenoh-plugin-storage-manager 6 0%
#15981 monochromegane/tap/afa 6 0%
#15982 chenrui333/tap/cocainate 6 0%
#15983 ucodia/tools/threedify 6 0%
#15984 chenrui333/tap/fsociety 6 0%
#15985 infamousjoeg/tap/conceal 6 0%
#15986 zalt50/core/tika 6 0%
#15987 jamf/internal-tap/cloud-tools 6 0%
#15988 goware/tap/jwtutil 6 0%
#15989 sidaf/pentest/cowpatty 6 0%
#15990 aaron-212/tap/zvm 6 0%
#15991 dekker1/minizinc/fzn-picat 6 0%
#15992 aljohri/-/outlook-apple-calendar-sync 6 0%
#15993 chenrui333/tap/enola 6 0%
#15994 45413/tap/git-cc 6 0%
#15995 shivammathur/extensions/zstd@8.1 6 0%
#15996 hpedrorodrigues/tools/sphynx 6 0%
#15997 treboc/swiftvars/swiftvars 6 0%
#15998 williamsharkey/rec/rec 6 0%
#15999 danieljprice/all/astrochem 6 0%
#16000 kolontsov/fxload/fxload 6 0%
#16001 nativeos/i386-elf-toolchain/i386-elf-gdb@11.1 6 0%
#16002 tanishiking/jpp/jpp 6 0%
#16003 gotyanov/tap/tools-runner 6 0%
#16004 devopstales/devopstales/kubectl-login 6 0%
#16005 cartman-kai/thrift/thrift@0.15 6 0%
#16006 dustinfarris/postgis/postgis@3.5.0 6 0%
#16007 kevemueller/ksysroot/ksysroot_i686-freebsd14.2@14.2-freebsd-stable 6 0%
#16008 ikornaselur/tap/litime 6 0%
#16009 libnftnl 6 0%
#16010 swlynch99/repro-tap/cargo-deduplicate-warnings 6 0%
#16011 lucas-albers-lz4/python-m1/python@3.13.0 6 0%
#16012 brewsci/bio/seq-gen 6 0%
#16013 sofialeee/swiftdraw-16/swiftdraw 6 0%
#16014 cloud-officer/ci/citools 6 0%
#16015 kevemueller/ksysroot/ksysroot_aarch64-freebsd14 6 0%
#16016 szampier/pipelines/esopipe-cr2res 6 0%
#16017 beam-cloud/beam/beta9 6 0%
#16018 moonfruit/tap/macos-totp-cli 6 0%
#16019 ataias/lib/swift-hello-world 6 0%
#16020 oven-sh/bun/bun@1.1.3 6 0%
#16021 brewsci/bio/seqan@3 6 0%
#16022 gnostr-org/gnostr-org/gnostr-bins 6 0%
#16023 jittering/kegs/jqplay-cli 6 0%
#16024 reitermarkus/tap/assetcache_exporter 6 0%
#16025 kastiglione/formulae/knox 6 0%
#16026 manelatun/catalina/ninja 6 0%
#16027 brewsci/bio/kent-tools 6 0%
#16028 pivotal/tap/springboot 6 0%
#16029 shivammathur/extensions/lua@7.3 5 0%
#16030 digitalspacestdio/php/php72-yaml 5 0%
#16031 postgis-postgresql16 5 0%
#16032 cherryservers/cherryctl/cherryctl 5 0%
#16033 ryooooooga/tap/almel 5 0%
#16034 cloudforet-io/tap/cfctl 5 0%
#16035 macecchi/tap/xcopen 5 0%
#16036 maralla/pack/pack 5 0%
#16037 noxhsxrk/nox/alias-manager-script 5 0%
#16038 sigsum 5 0%
#16039 peepdb 5 0%
#16040 tienex/moretti/qemu-e2k 5 0%
#16041 ben-h-a/verible/verible 5 0%
#16042 dns_load_balancer 5 0%
#16043 rsteube/tap/lazycomplete 5 0%
#16044 shivammathur/extensions/zmq@7.3 5 0%
#16045 kevemueller/ksysroot/ksysroot_i686-freebsd13.4@13.4-freebsd-release 5 0%
#16046 shivammathur/extensions/psr@8.1 5 0%
#16047 hamuko/mpd/ashuffle 5 0%
#16048 homebrew/linux-fonts/font-iosevka-ss17 5 0%
#16049 arkag/dirclean/dirclean 5 0%
#16050 boeschj/tap/shellgpt 5 0%
#16051 lifepillar/appleii/jace 5 0%
#16052 k1low/tap/ndiag 5 0%
#16053 havoc-io/mutagen/mutagen-compose 5 0%
#16054 decancer 5 0%
#16055 kabel-salat/qt62lts/qtdeclarative@6.2.9 5 0%
#16056 missingstudio/tap/gateway 5 0%
#16057 a-poor/parq/parq 5 0%
#16058 zyedidia/literate/literate 5 0%
#16059 ksolid 5 0%
#16060 sdogruyol/fast-http-server/fast-http-server 5 0%
#16061 z80oolong/eaw/rxvt-unicode-head 5 0%
#16062 dump1090_rs 5 0%
#16063 brewsci/bio/btllib 5 0%
#16064 thin-edge/tedge/tedge-mapper-cumulocity 5 0%
#16065 shaunplee/asic/ngspice@36 5 0%
#16066 davidchall/hep/fastnlo 5 0%
#16067 chenrui333/tap/fex 5 0%
#16068 szampier/pipelines/esopipe-uves-recipes 5 0%
#16069 unisonweb/unison/unison-language-2 5 0%
#16070 shibme/beta/xipher 5 0%
#16071 ascii-draw/ascii-tree/astree 5 0%
#16072 k14s/tap/kapp 5 0%
#16073 libcs50 5 0%
#16074 trussworks/tap/setup-new-aws-user 5 0%
#16075 tine 5 0%
#16076 kost/ulx3s/nextpnr-trellis 5 0%
#16077 recursivetree/xtrackcad/inkscape-cli 5 0%
#16078 sjorek/php/composer27-php82 5 0%
#16079 wouter0100/apps/vaultssh 5 0%
#16080 chenrui333/tap/pls 5 0%
#16081 manelatun/catalina/libunistring 5 0%
#16082 homebrew/linux-fonts/font-ubuntu-mono 5 0%
#16083 alfg/tap/bifextract 5 0%
#16084 bbkane/tap/envelope 5 0%
#16085 aromarious/private/display-rotate 5 0%
#16086 avsm/ocaml/srcsetter 5 0%
#16087 siliconwitchery/oss-fpga/nextpnr-nexus 5 0%
#16088 szampier/pipelines/esopipe-molecfit-recipes 5 0%
#16089 sjorek/php/composer22-php72 5 0%
#16090 linuxbrew/fonts/font-hack-nerd-font 5 0%
#16091 eso/pipelines/esopipe-espda 5 0%
#16092 subchen/tap/frep 5 0%
#16093 cjbassi/gotop/gotop 5 0%
#16094 manelatun/bottles/postgresql@16 5 0%
#16095 marghidanu/werk/werk 5 0%
#16096 bashdot/tap/bashdot 5 0%
#16097 semgrep/infra/libxml2@2.11.5 5 0%
#16098 barrbrain/av1/av1an 5 0%
#16099 infisical/get-cli/infisical@0.25.0 5 0%
#16100 tox/tox/toxic 5 0%
#16101 gromgit/fuse/hfsfuse-mac 5 0%
#16102 cqlabs/dcm/dcm@1.21.2 5 0%
#16103 drone/drone/drone@0.8.6 5 0%
#16104 iepsen/cmvm/cmvm 5 0%
#16105 cblecker/tap/kubectl-dev_tool 5 0%
#16106 lixiljp/saml2aws/samba 5 0%
#16107 briandorsey/tap/wavrw 5 0%
#16108 appveyor/brew/appveyor-host-agent 5 0%
#16109 gmpify/core/bigquery-emulator 5 0%
#16110 microsoft/mssql-release/msodbcsql17@17.3.1.1 5 0%
#16111 viamrobotics/brews/intel-real-grpc-server 5 0%
#16112 under-doc/underdoc/underdoc 5 0%
#16113 rushsteve1/pkgs/trash-d 5 0%
#16114 vhrechukha/ssh-manager/ssh-manager 5 0%
#16115 orhanerday/tools/combine-text-files 5 0%
#16116 amar1729/deluge-meta/gobject-introspection@1.78.1 5 0%
#16117 loomhq/tap/jig 5 0%
#16118 wl-clipboard 5 0%
#16119 szampier/pipelines/pyesorex 5 0%
#16120 kenshaw/fv/fv 5 0%
#16121 kometen/backup-db/backup-db 5 0%
#16122 chenrui333/tap/brighterscript-formatter 5 0%
#16123 docker/internal/infra-cli 5 0%
#16124 motemen/furoshiki2/furoshiki2 5 0%
#16125 maelvalais/amc/amc-pango 5 0%
#16126 my-redis 5 0%
#16127 dapr/tap/dapr-cli@1.14.0-rc.2 5 0%
#16128 spider-rs/spider-cloud-cli/spider-cloud-cli 5 0%
#16129 jrockway/tap/jlog 5 0%
#16130 kevemueller/ksysroot/ksysroot_x86_64-freebsd14@14.1-freebsd-release 5 0%
#16131 dart-lang/dart/dart@3.1.4 5 0%
#16132 cachewerk/tap/relay@7.4 5 0%
#16133 mistydemeo/formulae/macutils 5 0%
#16134 martindelille/tap/qmlfmt 5 0%
#16135 cowsay-org/cowsay/cowsay-files 5 0%
#16136 rasil428/tap/mysql@5.7 5 0%
#16137 lovechen/tap/grd 5 0%
#16138 pijul 5 0%
#16139 app 5 0%
#16140 smartnews/tap/spaas 5 0%
#16141 aconchillo/guile/guile-bstructs 5 0%
#16142 koki-develop/tap/clive 5 0%
#16143 ensembl/external/genewise 5 0%
#16144 mongodb/brew/mongodb-enterprise@4.4 5 0%
#16145 akitasoftware/akita/akita-cli 5 0%
#16146 chinadns-c 5 0%
#16147 carhartl/tap/git-wip 5 0%
#16148 thefox/brewery/phook 5 0%
#16149 alvaro-aguirre-cl/esopipes/esopipe-xshooter 5 0%
#16150 netlify/netlifyctl/netlifyctl 5 0%
#16151 xdrop/tap/cartel 5 0%
#16152 steffakasid/kusto-me/kustome 5 0%
#16153 parra-cli 5 0%
#16154 ataka/formulae/ls2xs 5 0%
#16155 jrmastermodelbuilder/flasm/flasm 5 0%
#16156 romlm 5 0%
#16157 sdpctl 5 0%
#16158 cimnine/armagetronad/armagetronad 5 0%
#16159 jftuga/tap/freq 5 0%
#16160 nickmoignard/tap/dab-s3-logs 5 0%
#16161 shuttle_converter 5 0%
#16162 metagunner/habheat/habheat 5 0%
#16163 mosra/magnum/magnum-extras 5 0%
#16164 fishtown-analytics/dbt/dbt@0.19.0 5 0%
#16165 ecs-task-management 5 0%
#16166 mariadb@10.2 5 0%
#16167 zwpaper/tabby/tabby-rc 5 0%
#16168 homebrew/linux-fonts/font-trebuchet-ms 5 0%
#16169 jerson/tap/pgrokd 5 0%
#16170 zrzka/tap/tot 5 0%
#16171 state-space/state-space/cli 5 0%
#16172 asimov-platform/tap/asimov-cli 5 0%
#16173 im2nguyen/rover/rover 5 0%
#16174 tokuhirom/formulae/capjoy 5 0%
#16175 jake-klingler/tap/asdf@0.15.0 5 0%
#16176 johngrib/johngrib/fav 5 0%
#16177 hy-atharv/maclincomms/maclincomms 5 0%
#16178 paulfitz/data/coopy 5 0%
#16179 robbielyman/seamstress/seamstress@1 5 0%
#16180 sidaf/pentest/wig 5 0%
#16181 theden/gcopy/gcopy 5 0%
#16182 oxen-ai/oxen-server/oxen-server 5 0%
#16183 airgeddon 5 0%
#16184 otpclient 5 0%
#16185 envcraft 5 0%
#16186 homebrew/linux-fonts/font-iosevka-ss09 5 0%
#16187 eugmes/fntsample/fntsample 5 0%
#16188 uit-inf-2201-s24/bochs/bochs-full 5 0%
#16189 ndelvalle/utilities/nakatoshi 5 0%
#16190 kevemueller/ksysroot/ksysroot_aarch64-freebsd13 5 0%
#16191 b-ramsey/kali/dnsenum 5 0%
#16192 paldab/flow-cli/flow 5 0%
#16193 jakeheis/repo/ice 5 0%
#16194 kevemueller/ksysroot/ksysroot_aarch64-freebsd14@14.1-freebsd-release 5 0%
#16195 teddyhuang-00/app/sshping 5 0%
#16196 rcmdnk/rcmdnkpac/parse-plist 5 0%
#16197 node-with-pointer-compression@20 5 0%
#16198 3j14/bumgr/bumgr 5 0%
#16199 kobaltcore/renkit/renkit 5 0%
#16200 icu4xgo 5 0%
#16201 eqnxio/ngrok/ngrok 5 0%
#16202 brewsci/bio/bustools 5 0%
#16203 alexandraspadlo/core/poppler 5 0%
#16204 skaffold@1.39 5 0%
#16205 homebrew/linux-fonts/font-udev-gothic 5 0%
#16206 kabel/pecl/php@8.3-imagick 5 0%
#16207 tech-thinker/tap/telepath 5 0%
#16208 kaumnen/tap/cipr 5 0%
#16209 paulrberg/multisol/multisol 5 0%
#16210 omarnour14/sechub/sechub 5 0%
#16211 gromgit/dev/codon 5 0%
#16212 chenrui333/tap/duster 5 0%
#16213 charmbracelet/tap/confettysh 5 0%
#16214 sidaf/pentest/hashcat-utils 5 0%
#16215 tueda/loops/libfermat 5 0%
#16216 neurosnap/sentences/sentences 5 0%
#16217 koh-sh/tap/codebuild-multirunner 5 0%
#16218 sikalabs/tap/tergum 5 0%
#16219 chenasraf/tap/treelike 5 0%
#16220 jeffbrennan/ampere-meter/ampere-meter 5 0%
#16221 picoquic 5 0%
#16222 bgpkit/tap/oneio 5 0%
#16223 powershell/tap/powershell-daily 5 0%
#16224 startergo/tap/osx-iso 5 0%
#16225 lixiljp/saml2aws/qemu 5 0%
#16226 terminaloutcomes/handbrakecli/handbrakecli 5 0%
#16227 tuist/tuist/tuist@3.36.2 5 0%
#16228 stackotter/dawn/dawn 5 0%
#16229 brewsci/bio/meme@4 5 0%
#16230 tarantool/tap/tarantool@2.6 5 0%
#16231 tedfulk/goatmeal/goatmeal 5 0%
#16232 shivammathur/extensions/phalcon4@7.4 5 0%
#16233 hnrobert/cmd-media-player/cmd-media-player 5 0%
#16234 minacle/chntpw/chntpw@1.0.1 5 0%
#16235 dokku/repo/docker-container-healthchecker 5 0%
#16236 gromgit/fuse/ratarmount-mac 5 0%
#16237 brewsci/bio/astral 5 0%
#16238 omerien/ytarchive/ytarchive 5 0%
#16239 cawaltrip/tap/onelogin-aws-cli 5 0%
#16240 lunatic-solutions/lunatic/lunatic 5 0%
#16241 bnkc/tap/unpack 5 0%
#16242 digitalspacestdio/common/imagemagick7 5 0%
#16243 gnuski 5 0%
#16244 tenacity 5 0%
#16245 ktexttemplate 5 0%
#16246 chenrui333/tap/shuttle 5 0%
#16247 jeremyherbert/avr/avr-gcc@9 5 0%
#16248 liverwust/xxdiff/xxdiff-arm64 5 0%
#16249 wang-q/tap/tsv-utils 5 0%
#16250 dustinwilson/tap/browserpass 5 0%
#16251 w-mai/cellar/icu_tool 5 0%
#16252 awctl 5 0%
#16253 hide-org/formulae/hide 5 0%
#16254 brewsci/bio/quicktree 5 0%
#16255 kabel/php-ext/php-enchant 5 0%
#16256 typicalam/goread/goread 5 0%
#16257 microsoft/mssql-release/msodbcsql17@17.0.1.1 5 0%
#16258 digitalspacestdio/nextgen-devenv/digitalspace-lua-resty-core 5 0%
#16259 dropsite-ai/tap/gotemplate 5 0%
#16260 wagoodman/bashful/bashful 5 0%
#16261 sugan0tech/tmuxcraft/tmuxcraft 5 0%
#16262 light4/gcc_cross_compilers/i586-elf-binutils 5 0%
#16263 knowledge-clustering 5 0%
#16264 lou1306/formal/cpachecker 5 0%
#16265 n8n-io/n8n/n8n 5 0%
#16266 gerlero/openfoam/styro 5 0%
#16267 local_postgresql 5 0%
#16268 osx-cross/arm/arm-gcc-bin@14 5 0%
#16269 chenrui333/tap/werk 5 0%
#16270 hashicorp/tap/consul-aws 5 0%
#16271 pmamico/soap/soap-cli 5 0%
#16272 dholm/sdr/gr-cc11xx 5 0%
#16273 roman901/docker-virtualbox/docker-virtualbox 5 0%
#16274 philippecarphin/repos/repos 5 0%
#16275 archetect/archetect/archetect 5 0%
#16276 pathsaver 5 0%
#16277 chenrui333/tap/cargo-careful 5 0%
#16278 obverser/zathura/girara 5 0%
#16279 eso/pipelines/esopipe-matisse 5 0%
#16280 qwwdfsad/tap/async-profiler 5 0%
#16281 devstarter 5 0%
#16282 ajaypremshankar/termo/termo 5 0%
#16283 textualize/homebrew/textual-web 5 0%
#16284 oven-sh/bun/bun@1.0.25 5 0%
#16285 dart-lang/dart/dart@3.1.3 5 0%
#16286 artemnovichkov/projects/carting 5 0%
#16287 srcml/srcml/srcml 5 0%
#16288 dhth/tap/punchout 5 0%
#16289 ppsteven/tap/leetcode-tool 5 0%
#16290 interline-io/planetutils/osmctools 5 0%
#16291 tripplilley/whatscli/whatscli-whatsmeow 5 0%
#16292 surrealdb/surreal/surreal 5 0%
#16293 goreleaser/tap/chglog 5 0%
#16294 tueda/loops/fire 5 0%
#16295 ensembl/ensembl/orthofinder 5 0%
#16296 homebrew/linux-fonts/font-b612-mono 5 0%
#16297 catatsuy/tap/curl-http3-libressl 5 0%
#16298 tonchis/goodies/tmuxify 5 0%
#16299 armosec/kubescape/kubescape-cli 5 0%
#16300 yusukebe/tap/revealgo 5 0%
#16301 jhalter/mobius-hotline-client/mobius-hotline-client 5 0%
#16302 brewsci/science/parmetis 5 0%
#16303 gloohq/baml/baml 5 0%
#16304 denji/nginx/fancyindex-nginx-module 5 0%
#16305 brewsci/bio/abacas 5 0%
#16306 daite/collection/angel 5 0%
#16307 homebrew/linux-fonts/font-iosevka-ss03 5 0%
#16308 chenrui333/tap/mermaid-cli 5 0%
#16309 cxwx/cxbrew/rapidyaml 5 0%
#16310 vtk@8.2 5 0%
#16311 vale21/qemu-virgl/qemu-virgl 5 0%
#16312 evanpurkhiser/personal/libkeyfinder 5 0%
#16313 shivammathur/extensions/ds@7.3 5 0%
#16314 p1x3l101-10/personal/ssh-proxy 5 0%
#16315 sergioribera/tap/sss_cli 5 0%
#16316 brewsci/bio/velvet 5 0%
#16317 aconchillo/guile/guile-gi 5 0%
#16318 fbkarsdorp/lamachine/frog 5 0%
#16319 jez/formulae/git-madge 5 0%
#16320 opslabhqx/lddc/lddc 5 0%
#16321 timwehrle/asana/asana 5 0%
#16322 nealcaren/tools/pdfextractimages 5 0%
#16323 sideko-inc/tap/sideko 5 0%
#16324 meltwater/fks/kubectx 5 0%
#16325 releasetools/tap/rt 5 0%
#16326 sjorek/php/composer27-php74 5 0%
#16327 koriym/deepldoc/deepl 5 0%
#16328 deliveroo/tools/roo-stage 5 0%
#16329 twilio/brew/twilio@5.5.0 5 0%
#16330 chenrui333/tap/public-ollama-finder 5 0%
#16331 timharek/tap/yr 5 0%
#16332 nullplatform/tap/cli 5 0%
#16333 aws/tap/aws-sam-cli 5 0%
#16334 x13a/tap/pschk 5 0%
#16335 waynezhang/tap/dotr 5 0%
#16336 bwilczynski/tap/sonos-cli 5 0%
#16337 dtx-devcontainers 5 0%
#16338 momepp/formulae/myabai 5 0%
#16339 speto/gotest/gotest 5 0%
#16340 vonglasow/tap/gaia 5 0%
#16341 blacktop/tap/go-gitfamous 5 0%
#16342 markbhasawut/markbhasawut/asmjit 5 0%
#16343 tuist/tuist/tuist@4.44.0 5 0%
#16344 jftuga/tap/awswho 5 0%
#16345 jftuga/tap/ipinfo 5 0%
#16346 lifepillar/appleii/applecommander-acx 5 0%
#16347 restatedev/tap/restatectl 5 0%
#16348 chenrui333/tap/alacritty 5 0%
#16349 keyuxing/old-formulas/openconnect@8.20 5 0%
#16350 rrotter/useful/onepassword-cli 5 0%
#16351 macaulay2/tap/cohomcalg 5 0%
#16352 shopify/shopify/shopify-cli-pre 5 0%
#16353 hiera-eyaml 5 0%
#16354 hoyle1974/tap/khronoscope 5 0%
#16355 diffblue/cbmc/cbmc@5.95.1 5 0%
#16356 schloegl/biosig/sigviewer 5 0%
#16357 uuid-cli 5 0%
#16358 arthurpalves/m/coherent-swift 5 0%
#16359 motty-mio2/tap/verible 5 0%
#16360 createrepo 5 0%
#16361 ombrac/ombrac/ombrac 5 0%
#16362 Bcmonitor 5 0%
#16363 rene-dev/sigrok/libsigrokdecode 5 0%
#16364 rancherx 5 0%
#16365 ncipollo/tools/tix 5 0%
#16366 epinio/tap/epinio 5 0%
#16367 pyink 5 0%
#16368 ehok/tap/kubenpod 5 0%
#16369 freecad/freecad/nglib@6.2.2105 5 0%
#16370 homebrew/linux-fonts/font-iosevka-ss10 5 0%
#16371 postmanlabs/postman-insights-agent/postman-insights-agent 5 0%
#16372 dbt-labs/dbt/dbt-redshift@1.0.0 5 0%
#16373 cxwx/cxbrew/gomvp 5 0%
#16374 bfontaine/utils/gzsize 5 0%
#16375 glennakamura/repo/http-proxy 5 0%
#16376 cyberark/tools/terraform-provider-conjur 5 0%
#16377 yarden-zamir/tap/gh-source 5 0%
#16378 denizugur/tap/solarpaper 5 0%
#16379 brewsci/bio/lsd2 5 0%
#16380 nirmata/nctl/nctl@4.2-rc 5 0%
#16381 microconfig/microctl/microctl 5 0%
#16382 brewsci/science/galib 5 0%
#16383 brewsci/bio/rdkit4coot 5 0%
#16384 pl-swift/plswift/plswift 5 0%
#16385 beordle/tap/termtunnel 5 0%
#16386 ibc 5 0%
#16387 infisical/get-cli/infisical@0.30.0 5 0%
#16388 linux-china/tap/zawk 5 0%
#16389 fbkarsdorp/lamachine/ticcutils 5 0%
#16390 chenrui333/tap/flow-editor 5 0%
#16391 itsdalmo/tap/tfcheck 5 0%
#16392 oarc-qttermtcp 5 0%
#16393 cmdp 5 0%
#16394 tomahawk-player/tomahawkqt5/jreen 5 0%
#16395 scivisum/tap/flock 5 0%
#16396 rogii-com/tap/qbec 5 0%
#16397 z80oolong/eaw/mutt-head 5 0%
#16398 shivammathur/extensions/gnupg@8.0 5 0%
#16399 riscv32-elf-gcc 5 0%
#16400 mysticlgbt/made/asset-cache-tool 5 0%
#16401 chrismytton/formula/shoreman 5 0%
#16402 chenrui333/tap/mail-deduplicate 5 0%
#16403 msakai/tap/liblbfgsb 5 0%
#16404 tuist/tuist/tuist@3.24.0 5 0%
#16405 gleich/tap/ctree 5 0%
#16406 pbk20191/trunk/cocoapods 5 0%
#16407 dbt-labs/dbt/dbt@0.19.0 5 0%
#16408 moskyb/developer-versions/pg_partman@4.7.4 5 0%
#16409 bastionzero/tap/zli-beta 5 0%
#16410 rianico/tap/memtoy 5 0%
#16411 streamnative/streamnative/pulsar 5 0%
#16412 chenrui333/tap/zig@0.10 5 0%
#16413 jamebus/tools/kalye 5 0%
#16414 shivammathur/extensions/pdo_sqlsrv@7.3 5 0%
#16415 codecademy-engineering/bootstrap/helm@3.14.4 5 0%
#16416 hashmap-kz/tap/kubectl-apidocs 5 0%
#16417 alphauslabs/tap/tucp 5 0%
#16418 springload/tools/ecs-tool 5 0%
#16419 fission-codes/fission/homestar 5 0%
#16420 kconfig 5 0%
#16421 ebnull/gohome/gohome 5 0%
#16422 arbourd/tap/git-open 5 0%
#16423 fancom-developer/tap/flywheel-client 5 0%
#16424 jdoiro3/dagit/dagit 5 0%
#16425 perl@5.18 5 0%
#16426 brewsci/bio/kaiju 5 0%
#16427 heuermh/parquet-tools/cooper 5 0%
#16428 sourcegraph/pgvector-12/pgvector 5 0%
#16429 chenrui333/tap/hcledit 5 0%
#16430 ymgyt/syndicationd/synd 5 0%
#16431 goreleaser/tap/goreleaser-pro@2 5 0%
#16432 homebrew/linux-fonts/font-noto-color-emoji 5 0%
#16433 xrock 5 0%
#16434 strubleca/growler/macvim-std 5 0%
#16435 chenrui333/tap/vsg 5 0%
#16436 baransu/simulation/ogre2.3 5 0%
#16437 itchyny/tap/git-branch-name 5 0%
#16438 oven-sh/bun/bun@1.1.1 5 0%
#16439 hrm-corp/tap/kic-iam-auth 5 0%
#16440 dart-lang/dart/dart@2.4 5 0%
#16441 ceph-client-test 5 0%
#16442 winebarrel/qube/qube 5 0%
#16443 jeremiergz/nas-cli/nas-cli 5 0%
#16444 shogimaru 5 0%
#16445 nikaro/tap/gopy 5 0%
#16446 slhck/moreutils/moreutils 5 0%
#16447 kevemueller/ksysroot/ksysroot_powerpc64le-freebsd13.4@13.4-freebsd-release 5 0%
#16448 git-jiro/jiro/gridcoin 5 0%
#16449 anderssteennilsen/zshgpt/zshgpt 5 0%
#16450 dziedzicgrzegorz/tic-tac-toe/dziedzicgrzegorz 5 0%
#16451 aws-cli-tools/aws-cli-tools/whoami 5 0%
#16452 libyang 5 0%
#16453 dbt-labs/dbt/dbt@0.20.1 5 0%
#16454 shivammathur/extensions/mailparse@5.6 5 0%
#16455 oven-sh/bun/bun@1.1.17 5 0%
#16456 solaoi/tap/voicepeaky 5 0%
#16457 dhth/tap/outtasync 5 0%
#16458 kreeblah/sdl-tap/sdl_sound 5 0%
#16459 homebrew/linux-fonts/font-im-fell-english 5 0%
#16460 cargonode 5 0%
#16461 miyaz/tap/unix2date 5 0%
#16462 myzel394/formulae/config-lsp 5 0%
#16463 fnstruct/tap/micro 5 0%
#16464 shivammathur/extensions/raphf@8.5 5 0%
#16465 pam-watchid 5 0%
#16466 ethdevops/tap/sherlog-cli 5 0%
#16467 gvengel/forks/gnupg 5 0%
#16468 yugabyte/tap/yb-voyager@1.8.6 5 0%
#16469 neved4/tap/johnnybgoode 5 0%
#16470 ticky/dreamcast/pvrtex 5 0%
#16471 alvaro-aguirre-cl/esopipes/esopipe-hawki 5 0%
#16472 olcf/tap/pkpass 5 0%
#16473 melkeydev/tap/govm 5 0%
#16474 kcl-lang/tap/kcl-lsp@0.9.0 5 0%
#16475 blackfireio/blackfire/blackfire-php70 5 0%
#16476 designbynumbers/cantarellalab/libplcurve 5 0%
#16477 nicerloop/nicerloop/improv-wifi-serial-client 5 0%
#16478 yogeshnikam671/btof/btof 5 0%
#16479 guessi/tap/eks-node-diagnostic 5 0%
#16480 lifepillar/appleii/ciderpress2 5 0%
#16481 shivammathur/extensions/igbinary@8.5 5 0%
#16482 nicerloop/nicerloop/mas 5 0%
#16483 chenrui333/tap/tfmcp 5 0%
#16484 kaos/shell/bats-mock 5 0%
#16485 nwhetsell/coldtype/coldtype 5 0%
#16486 chenrui333/tap/koji 5 0%
#16487 aegoroff/tap/grok 5 0%
#16488 macaulay2/tap/mathicgb 5 0%
#16489 dante-biase/x2x/py2app 5 0%
#16490 shivammathur/extensions/zmq@8.3 5 0%
#16491 ensembl/ensembl/treebest 5 0%
#16492 bow-swift/bow/bow-openapi 5 0%
#16493 brewsci/bio/gappa 5 0%
#16494 straubt1/tap/tfx 5 0%
#16495 veracode/tap/gen-ir@0.5.0-alpha 5 0%
#16496 shivammathur/extensions/psr@7.3 5 0%
#16497 digitalspacestdio/php/composer@2.4 5 0%
#16498 shivangp76/zathura/zathura-pdf-mupdf 5 0%
#16499 synchronal/tap/medic-ext-node 5 0%
#16500 sachaos/tap/viddy-rc 5 0%
#16501 goodeggs/devops/git-crypt-keeper 5 0%
#16502 olets/tap/zsh-transient-prompt 5 0%
#16503 sidneys/homebrew/optool 5 0%
#16504 victorzimmer/bochs/bochs-full 5 0%
#16505 dreadl0ck/formulas/zeus 5 0%
#16506 dbt-labs/dbt/dbt-postgres@1.5.4 5 0%
#16507 mrded/mysql2sqlite/mysql2sqlite 5 0%
#16508 sri-csl/sri-csl/cudd 5 0%
#16509 aconchillo/guile/guile-sdl2 5 0%
#16510 rerost/tools/giro 5 0%
#16511 tuist/tuist/tuist@3.22.0 5 0%
#16512 archethic-foundation/tap/archethic 5 0%
#16513 ngx-fancyindex 5 0%
#16514 beerpiss/tap/aidoku-cli 5 0%
#16515 actuallytaylor/formulae/arm-gnu-toolchain@12 5 0%
#16516 alvaro-aguirre-cl/esopipelines/esoreflex 5 0%
#16517 tgragnato/tap/terraform@1.7 5 0%
#16518 xavdid/projects/reddit-user-to-sqlite 5 0%
#16519 marentech/envcli/envcli 5 0%
#16520 dlr-ts/sumo/sumo@1.12.0 5 0%
#16521 uname-n/brew/mk 5 0%
#16522 clockworknet/cmc/cmc 5 0%
#16523 chronicle-app/etl/chronicle-etl 5 0%
#16524 domainer 5 0%
#16525 anchore/grant/grant 5 0%
#16526 followtheprocess/tap/spok 5 0%
#16527 kpeeters/repo/cadabra2-devel 5 0%
#16528 tabby 5 0%
#16529 dencyuman/pypi-versions/pypi-versions 5 0%
#16530 chenrui333/tap/brotab 5 0%
#16531 pythonswiftlink/tools/psproject 5 0%
#16532 cqlabs/dcm/dcm@1.16.2 5 0%
#16533 szampier/pipelines/esopipe-espdr-recipes 5 0%
#16534 szampier/pipelines/esopipe-giraf-recipes 5 0%
#16535 szampier/pipelines/esopipe-hawki 5 0%
#16536 kazhuravlev/toolset/toolset 5 0%
#16537 infisical/infisical/infisical 5 0%
#16538 aldrin/tap/git-changelog 5 0%
#16539 openrtm/openrtm2/openrtm2-python-py313 5 0%
#16540 ernstwi/tap/drafts 5 0%
#16541 nshipster/formulae/xcderiveddata 5 0%
#16542 josh/tap/gametrack-data 5 0%
#16543 dopplerhq/tap/doppler 5 0%
#16544 p5quared/decanter/decanter 5 0%
#16545 wandelbotsgmbh/wandelbots/wabocli 5 0%
#16546 tripabhi/froogle/froogle 5 0%
#16547 eso/pipelines/cfitsio@4.2.0 5 0%
#16548 1debit/chime/chime-ecr-credential-helper 5 0%
#16549 bhagwati-web/grpc-client/grpc-client 5 0%
#16550 notmicaelfilipe/tap/kuberlr 5 0%
#16551 wang-q/tap/faops 5 0%
#16552 nekrassov01/tap/tlc3 5 0%
#16553 tearingitup786/tearingitup786/rc-chatgpt-tui 5 0%
#16554 z80oolong/tmux/powerline-status@2.8.3 5 0%
#16555 rippling-cli 5 0%
#16556 gebogebogebo/tap/ctapcli 5 0%
#16557 bschaatsbergen/dnsee/dnsee 5 0%
#16558 orangekame3/tap/ghfetch 5 0%
#16559 yugabyte/yugabytedb/yugabytedb-client 5 0%
#16560 textualize/rich/rich 5 0%
#16561 jaaasonsun/emacs-plus/emacs-plus@30 5 0%
#16562 lifepillar/appleii/cadius 5 0%
#16563 openrtm/openrtm2/openrtm2-py313 5 0%
#16564 vmware-tanzu-learning/tap/penguinctl 5 0%
#16565 protoc-gen-gogo 5 0%
#16566 gocardless/taps/draupnir 5 0%
#16567 schloegl/biosig/stimfit 5 0%
#16568 putmail 5 0%
#16569 eso/pipelines/esopipe-muse-demo 5 0%
#16570 a7ex/formulae/findsimulator 5 0%
#16571 leventekk/commitcraft/commitcraft 5 0%
#16572 shivammathur/extensions/raphf@8.3 5 0%
#16573 shivammathur/extensions/raphf@8.2 5 0%
#16574 mwstroud0/matrix/matrix 5 0%
#16575 remino/remino/sere 5 0%
#16576 kabel/pecl/php@8.3-redis 5 0%
#16577 k2tzumi/apple/game-porting-toolkit-compiler 5 0%
#16578 ryotaroseto/tap/neko 5 0%
#16579 marceloakalopes/todu/todu 5 0%
#16580 kevemueller/ksysroot/ksysroot_aarch64-freebsd14@14.2-freebsd-stable 5 0%
#16581 m2700/tap/neorv32-image_gen 5 0%
#16582 oven-sh/bun/bun@1.2.1 5 0%
#16583 pascaliske/libbpg/libbpg-stable 5 0%
#16584 fgeller/tap/jsonify 5 0%
#16585 shivammathur/extensions/raphf@8.4 5 0%
#16586 laggardkernel/tap/neovim-nightly 5 0%
#16587 gemmi 5 0%
#16588 yugabyte/tap/yb-voyager@1.8.3-rc1 5 0%
#16589 prattlorg/prattl/prattl 5 0%
#16590 sandertammesoo/formulae/zunit 5 0%
#16591 restate-server 5 0%
#16592 rorokimdim/brew/stash 5 0%
#16593 yoppydev/tap/gh-deps 5 0%
#16594 aconchillo/guile/guile-present 5 0%
#16595 ryuseinomi/tap/bookmark_fzf 5 0%
#16596 twilio/brew/twilio@5.21.1 5 0%
#16597 pablopunk/brew/dot 5 0%
#16598 steschwa/tap/fq 5 0%
#16599 m2700/tap/nvdtools 5 0%
#16600 tuist/tuist/tuist@3.23.1 5 0%
#16601 marioschwalbe/gcc-musl-cross/gcc-9-musl-cross 5 0%
#16602 cdalvaro/tap/clang-format@14 5 0%
#16603 funkygao/federate/federate 5 0%
#16604 flowerinthenight/tap/oomkill-watch 5 0%
#16605 dwango/yashiro/ysr 5 0%
#16606 pvarentsov/iola/iola 5 0%
#16607 ysicing/tap/tiga 5 0%
#16608 ezeql/personal/oabtray 5 0%
#16609 edgarcosta/science/smalljac 5 0%
#16610 jftuga/tap/chars 5 0%
#16611 homebrew/bundle/opencv@4.6.0 5 0%
#16612 legacycodehq/tap/eureka 5 0%
#16613 anthrotype/ttfautohint/ttfautohintgui 5 0%
#16614 suiboli314/mpv-iina/mpv-iina 5 0%
#16615 scivisum/tap/filebeat@6.8 5 0%
#16616 eso/pipelines/esopipe-iiinstrument-demo 5 0%
#16617 robbielyman/seamstress/seamstress@2 5 0%
#16618 d235j/ios-restore-tools/tsschecker 5 0%
#16619 owenthereal/candy/candy 5 0%
#16620 mariadb@10.8 5 0%
#16621 jplonghi/tools/getjwttoken 5 0%
#16622 derekselander/funpack/dsdump 5 0%
#16623 txtx/taps/surfpool 5 0%
#16624 codemeister64/swiftypoeditor/swiftypoeditor 5 0%
#16625 gnupg@2.5 5 0%
#16626 echocrow/tap/mouser 5 0%
#16627 chenrui333/tap/hellwal 5 0%
#16628 brewsci/bio/andi 5 0%
#16629 clowdhaus/taps/cookiecluster 5 0%
#16630 ebc-2in2crc/zlibcmd/zlibcmd 5 0%
#16631 termius 5 0%
#16632 i3ash/tap/fortify 5 0%
#16633 szampier/pipelines/esopipe-espdr 5 0%
#16634 arrow2nd/tap/nekome 5 0%
#16635 nick-f/labs/mssh 5 0%
#16636 danielbair/tap/pcaudiolib 5 0%
#16637 binarynoir/pagemon/pagemon 5 0%
#16638 cubing/cubing/twsearch 5 0%
#16639 chenrui333/tap/arduino-language-server 5 0%
#16640 brewsci/bio/nthits 5 0%
#16641 nerdsupremacist/tap/graphaello 5 0%
#16642 tempestdx/tap/tempest 5 0%
#16643 musil/tap/smu 5 0%
#16644 corvimia/mdk/mdk 5 0%
#16645 veracode/tap/scan_health 5 0%
#16646 clamshell 5 0%
#16647 go-go-golems/go-go-go/prompto 5 0%
#16648 manojkarthick/reddsaver/reddsaver 5 0%
#16649 vovasty/tap/audiobookfetcher 5 0%
#16650 lovromazgon/tap/impromptu 5 0%
#16651 suborbital/subo/subo 5 0%
#16652 tilfin/aws/swrole 5 0%
#16653 grusell/vivictpp/vivictpp 5 0%
#16654 sidaf/pentest/panoptic 5 0%
#16655 aa830/formulae/donut 5 0%
#16656 vantage-sh/clickhouse/clickhouse@24.3 5 0%
#16657 drhyde/drhyde/mysql-82 5 0%
#16658 frantjc/tap/forge 5 0%
#16659 termapps/tap/publisher 5 0%
#16660 onlynone/tap/ruby@2.7 5 0%
#16661 brewsci/science/gnuastro 5 0%
#16662 ome/alt/bioformats 5 0%
#16663 freecad/freecad/boost-python3.11 5 0%
#16664 dockerbeam/dockerbeam/dockerbeam 5 0%
#16665 witx98/logchange/logchange 5 0%
#16666 tmuniversal/tap/papercrypt 5 0%
#16667 gg-scm/gg/gg 5 0%
#16668 homebrew/linux-fonts/font-caladea 5 0%
#16669 redbubble/redbubble/bubblejump 5 0%
#16670 buchhalter-ai/buchhalter/buchhalter-ai 5 0%
#16671 djego/prt/prt 5 0%
#16672 shellrow/tap-chmod-bpf/chmod-bpf 5 0%
#16673 cxwx/cxbrew/cubicinterpolation 5 0%
#16674 postgis-pg16 5 0%
#16675 liucxer/ceph-client/ceph-client 5 0%
#16676 friendliai/client/friendli-client 5 0%
#16677 lamhaison/formulae/assume-role-macos-apple-chip 5 0%
#16678 turkeymcmac/tap/ts3d 5 0%
#16679 eso/pipelines/erfa 5 0%
#16680 roma-glushko/tango/tango 5 0%
#16681 jetrails/tap/jrctl 5 0%
#16682 webispy/sdk/libnugu 5 0%
#16683 hhvm/hhvm/hhvm-4.163 5 0%
#16684 roboticslibrary/rl/coin 5 0%
#16685 raphaelmansuy/tap/hiramu-cli 5 0%
#16686 hinyinlam/tap/harbor-cli 5 0%
#16687 oven-sh/bun/bun@1.1.25 5 0%
#16688 islemci/cliwrap/cliwrap 5 0%
#16689 trustpilot/tools/tpcfn 5 0%
#16690 infisical/get-cli/infisical@0.17.3 5 0%
#16691 goodwithtech/r/dockertags 5 0%
#16692 k1low/tap/mk 5 0%
#16693 zirixcz/formulae/cmus-rpc-c 5 0%
#16694 nifetency/tap/nifectl 5 0%
#16695 brewsci/bio/hap-ibd 5 0%
#16696 tukaelu/tap/zgsync 5 0%
#16697 kabel/pecl/php@8.3-mailparse 5 0%
#16698 oven-sh/bun/bun@1.0.26 5 0%
#16699 namespacelabs/namespace/ns 5 0%
#16700 envoy49/go-spotify-cli/go-spotify-cli 5 0%
#16701 egor-kouchnarev/tap/passphrase 5 0%
#16702 1995parham/tap/dijo 5 0%
#16703 by-stehessel/blueyonder/di-csv2xml 5 0%
#16704 hashicorp/releng/bob 5 0%
#16705 remino/remino/mkbrewrel 5 0%
#16706 josh/tap/bat-catppuccin 5 0%
#16707 moonfruit/tap/instantclient-macos-arm64 5 0%
#16708 tamada/brew/btmeister 5 0%
#16709 kabel/php-ext/php@8.3-snmp 5 0%
#16710 teamlumos/tap/lumos-prerelease 5 0%
#16711 johanvdhaegen/tools/wavedrom-cli 5 0%
#16712 octoproject/octoproject/octo-cli 5 0%
#16713 microsoft/mssql-tools/msodbcsql17 5 0%
#16714 steffakasid/jotty/jotty 5 0%
#16715 tam5/emacs-plus/emacs-plus@29 5 0%
#16716 brewsci/bio/rapidnj 5 0%
#16717 anttiharju/tap/vmatch 5 0%
#16718 rafi/tap/gits 5 0%
#16719 imcd23/brew/ibuild 5 0%
#16720 macmade/tap/smc-dump 5 0%
#16721 gmerlino/rpiboot/rpiboot 5 0%
#16722 boltapp/public/dynamodb-local 5 0%
#16723 homebrew/linux-fonts/font-noto-sans-cjk 5 0%
#16724 homebrew/linux-fonts/font-noto-serif-cjk 5 0%
#16725 magicbell-io/tap/magicbell-cli 5 0%
#16726 martinbonnin/repo/pin-github-actions 5 0%
#16727 xtatsux/tap/s3up 5 0%
#16728 gouz/tools/coc 5 0%
#16729 andantissimo/php/php-fpm@8.3 5 0%
#16730 oven-sh/bun/bun@1.1.15 5 0%
#16731 shivammathur/extensions/phalcon3@7.2 5 0%
#16732 kuzudb/kuzu/kuzu 5 0%
#16733 homebrew/linux-fonts/font-fira-mono 5 0%
#16734 b1nary-gr0up/nwa/nwa 5 0%
#16735 rsteube/tap/carapace-spec-man 5 0%
#16736 rsteube/tap/carapace-spec 5 0%
#16737 runtimeco/mynewt/mynewt-newtmgr 5 0%
#16738 xero-internal/tap/aws-sso-tools 5 0%
#16739 nesium/tools/xcode-color-assets 5 0%
#16740 saket/repo/unfurl 5 0%
#16741 evanstoddard23/tap/terragrunt 5 0%
#16742 devopsartfactory/devopsart/klocust 5 0%
#16743 ownia/ownia/injectlib 5 0%
#16744 portolanetwork/portola-staging/staging-portola.app 5 0%
#16745 pgmodeler 5 0%
#16746 kabel/pecl/php@8.2-igbinary 5 0%
#16747 opencv49 5 0%
#16748 olidacombe/productivity-tools/dgira 5 0%
#16749 zhongruoyu/portable-ruby-aarch64-linux/linux-headers@4.4 5 0%
#16750 codecover 5 0%
#16751 oven-sh/bun/bun@1.0.7 5 0%
#16752 elasticpath/smc-upgrader/smc-upgrader 5 0%
#16753 atl-tw/tap/caser 5 0%
#16754 mtolmacs/formulas/hermes-engine 5 0%
#16755 kwabenantim/chaste/boost 5 0%
#16756 manelatun/bottles/ruby 5 0%
#16757 kabel/php-ext/php-pdo-oci 5 0%
#16758 accelira 5 0%
#16759 loopbit/tap/autoenv_fish 5 0%
#16760 brewsci/bio/k8 5 0%
#16761 alvaro-aguirre-cl/esopipes/esopipe-muse 5 0%
#16762 homebrew/linux-fonts/font-plemol-jp-nf 5 0%
#16763 dart-lang/dart/dart@3.2.2 5 0%
#16764 sttz/tap/expresso 5 0%
#16765 grol-io/tap/grol 5 0%
#16766 lamhaison/formulae/aws-cli-utils 5 0%
#16767 alvaro-aguirre-cl/esopipes/esopipe-fors 5 0%
#16768 mirceanton/taps/kubectl-switch 5 0%
#16769 dart-lang/dart/dart@2.2 5 0%
#16770 cockroachdb/tap/cockroach@24.2 5 0%
#16771 fishtown-analytics/dbt/dbt@0.17.2 5 0%
#16772 divyanshhh/gopher/gopher-security 5 0%
#16773 mikkurogue/mikkurogue/bigmile-cli 5 0%
#16774 yunkya2/tap/m68k-gdb 5 0%
#16775 shopify/private/certloader 5 0%
#16776 microsoft/mssql-release/msodbcsql17@17.7.2.1 5 0%
#16777 stepin/tools/kbre 5 0%
#16778 huseyinbabal/tap/aiws 5 0%
#16779 legnoh/etc/reminders-exporter 5 0%
#16780 rsvihladremio/tools/dqd 5 0%
#16781 rduo1009/tap/pylaunchd 5 0%
#16782 rylan12/personal/evalcache 5 0%
#16783 pianoopera/tap/rf-notion 5 0%
#16784 shivangp76/zathura/zathura-pdf-poppler 5 0%
#16785 hanff8/customtools/sing-box 5 0%
#16786 pthariensflame/assorted/abella 5 0%
#16787 hughbien/tap/tick 5 0%
#16788 bragir 5 0%
#16789 dart-lang/dart/dart@3.0.1 5 0%
#16790 ktorio/ktor/ktor 5 0%
#16791 danielbair/tap/bs4 5 0%
#16792 elliotxx/tap/mdfmt 5 0%
#16793 infer 5 0%
#16794 homebrew/linux-fonts/font-iosevka-curly-slab 5 0%
#16795 dotenvx/brew/dotenvx-ext-hub 5 0%
#16796 peterbrain/tap/icloud-nosync 5 0%
#16797 digitalspacestdio/common/v8js 5 0%
#16798 eugene-babichenko/fixit/fixit 5 0%
#16799 manelatun/bottles/git 5 0%
#16800 umwelt-studio/tap/sandworm 5 0%
#16801 shivammathur/extensions/phalcon4@7.3 5 0%
#16802 clojure/tools/clojure@1.11.1.1105 5 0%
#16803 drnic/ai/promptcraft 5 0%
#16804 freecad/freecad/pyside2@5.15.11 5 0%
#16805 k-nasa/tap/goku 5 0%
#16806 caarlos0/tap/mdtree 5 0%
#16807 shivammathur/extensions/ds@7.1 5 0%
#16808 kphrx/personal/swiftly 5 0%
#16809 soxofaan/duviz/duviz 5 0%
#16810 alexalbu001/bw-cli/bw-cli 5 0%
#16811 mopidy/mopidy/mopidy-local 5 0%
#16812 uiuclibrary/uiucprescon/galatea 5 0%
#16813 t-almeida/tap/supabase 5 0%
#16814 yasyf/do/do 4 0%
#16815 passy/givegif/givegif 4 0%
#16816 mussabaheen/tap/clipmaster 4 0%
#16817 aconchillo/guile/guile-ics 4 0%
#16818 manelatun/bottles/libyaml 4 0%
#16819 manelatun/bottles/ruby@3.1 4 0%
#16820 mingeme/tap/aicommit 4 0%
#16821 chenrui333/tap/ov 4 0%
#16822 pachyderm/tap/pachctl@2.5 4 0%
#16823 Opencv410 4 0%
#16824 webhookdb/webhookdb-cli/webhookdb 4 0%
#16825 joaofnds/tap/astro 4 0%
#16826 sjorek/php/composer22-php82 4 0%
#16827 ragieai/tap/ragie 4 0%
#16828 segmentio/packages/segment-aws-okta 4 0%
#16829 unfrgivn/packages/cli-tool-test 4 0%
#16830 starkandwayne/cf/uaa-cli 4 0%
#16831 towardstudio/toward/toward-cli 4 0%
#16832 soldiermoth/tap/hlsq 4 0%
#16833 chenrui333/tap/glsl-analyzer 4 0%
#16834 octave-app/octave-app/librsb 4 0%
#16835 astronomer/tap/astro@1.27.1 4 0%
#16836 glassbox-digital/tools/glassbox-swiftui-instrumentor 4 0%
#16837 nxmatic/repo/dublin-traceroute 4 0%
#16838 kurtosis-tech/tap/kurtosis-cli@0.88.19 4 0%
#16839 neholos/numio/numio-cli 4 0%
#16840 shivammathur/extensions/libcouchbase@2 4 0%
#16841 blacktop/tap/seccomp-gen 4 0%
#16842 sgrimee/tap/webex-tui 4 0%
#16843 orien/exiv2/exiv2@0.27.6 4 0%
#16844 dm3ch/tap/git-profile-manager 4 0%
#16845 garimiddisuman/tap/mygame 4 0%
#16846 sparkworx/stuff/instantclient-jdbc@23 4 0%
#16847 bhaskernitt/brew/chargebee-cli 4 0%
#16848 eso/pipelines/esopipe-crires 4 0%
#16849 caarlos0/tap/domain_exporter 4 0%
#16850 manelatun/bottles/help2man 4 0%
#16851 manelatun/bottles/docbook-xsl 4 0%
#16852 libsql/sqld/libsql-server 4 0%
#16853 anzx/deliveryeng/fabtest 4 0%
#16854 danger/tap/danger-kotlin-intel 4 0%
#16855 smillerdev/tap/phpunit@9.5 4 0%
#16856 islandora-devops/tap/islectl 4 0%
#16857 ssds-tiledb 4 0%
#16858 webdestroya/tap/awssso 4 0%
#16859 shivammathur/extensions/event@8.5 4 0%
#16860 manelatun/bottles/postgresql@15 4 0%
#16861 shivammathur/extensions/gnupg@7.1 4 0%
#16862 aconchillo/guile/guile-ini 4 0%
#16863 ianmclinden/extras/sdwirec 4 0%
#16864 shivammathur/extensions/propro@7.0 4 0%
#16865 obsproject/tools/clang-format@19 4 0%
#16866 vantage-sh/localstack/localstack-cli 4 0%
#16867 astronomer/tap/astro@1.28.1 4 0%
#16868 brewsci/science/oce 4 0%
#16869 hawk/hawk/lux 4 0%
#16870 slyeet03/spoify/spoify 4 0%
#16871 aconchillo/guile/guile-gitlab 4 0%
#16872 wpengine/tools/evolvectl 4 0%
#16873 homebrew/linux-fonts/font-iosevka-ss06 4 0%
#16874 natthphong/tar_paint/tar_paint 4 0%
#16875 johanvdhaegen/tools/dpic 4 0%
#16876 chenrui333/tap/rabbitmq-message-ops 4 0%
#16877 lifepillar/appleii/c2t 4 0%
#16878 dotenvx/brew/dotenvx-pro 4 0%
#16879 depshubhq/depshub/depshub 4 0%
#16880 homebrew/linux-fonts/font-zilla-slab 4 0%
#16881 valar/tap/valar 4 0%
#16882 digitalspacestdio/php/libvpx@1.13 4 0%
#16883 rollwagen/tap/tf-module-versions 4 0%
#16884 jrivers-iclass/tools/assumer 4 0%
#16885 randymcmillan/randymcmillan/mempool_space 4 0%
#16886 ansible@6 4 0%
#16887 entropy 4 0%
#16888 manelatun/bottles/postgresql@14 4 0%
#16889 vrtulka23/scinumtools/puq 4 0%
#16890 perarneng/tap/srctotext 4 0%
#16891 fxstein/fxstein/goprox 4 0%
#16892 glide 4 0%
#16893 swordofdamocles/ttork/ttork 4 0%
#16894 gtk-chtheme 4 0%
#16895 adobe-marketing-cloud/brews/filevault 4 0%
#16896 chenrui333/tap/jcli 4 0%
#16897 jakkusakura/kde/kf5-ki18n 4 0%
#16898 chenrui333/tap/sato 4 0%
#16899 palmdalian/mytaps/yaml-cpp 4 0%
#16900 graelo/tap/dvoratt 4 0%
#16901 rasukarusan/tap/fzf-chrome-active-tab 4 0%
#16902 shivammathur/extensions/gnupg@5.6 4 0%
#16903 luqven/gh-stack/gh-stack 4 0%
#16904 chroju/tap/tfcloud 4 0%
#16905 pgrok/tap/pgrok 4 0%
#16906 manlao/tap/nodenv-auto-install 4 0%
#16907 shedcollective/utilities/shed 4 0%
#16908 winebarrel/ddcost/ddcost 4 0%
#16909 manelatun/bottles/ruby@2.7 4 0%
#16910 hsatac/toybox/wifi-password-qr 4 0%
#16911 eosio/eosio/doxygen 4 0%
#16912 podinate/tap/podinate 4 0%
#16913 salehalbuga/formulae/swift-func 4 0%
#16914 nossralf/sqlpackage/sqlpackage 4 0%
#16915 eoleedi/tap/timetree-exporter 4 0%
#16916 garnajee/perso/shaka-packager 4 0%
#16917 oschrenk/made/keyboard 4 0%
#16918 manelatun/bottles/ruby@3.0 4 0%
#16919 usrlab/tap/vmware-fusion-vmcli-nogui 4 0%
#16920 sqldef/sqldef/sqlite3def 4 0%
#16921 schollz/tap/croc 4 0%
#16922 cecilapp/cecil/cecil 4 0%
#16923 ensembl/ensembl/bioperl-169 4 0%
#16924 szampier/pipelines/esopipe-fors-recipes 4 0%
#16925 maksim-paskal/tap/k8s-resources-cli 4 0%
#16926 brewsci/bio/minimap2 4 0%
#16927 plantoncloud/tap/project-planton 4 0%
#16928 libngtcp2 4 0%
#16929 gdanko/gdanko/free 4 0%
#16930 xml2 4 0%
#16931 johnmaguire/backrest-tap/backrest 4 0%
#16932 youngtard/wakalog/wakalog 4 0%
#16933 homebrew/cask-versions/erlang@22.3 4 0%
#16934 dlozynski/tools/synergy-core 4 0%
#16935 chenrui333/tap/ngtop 4 0%
#16936 shamrock-code/all/shamrock 4 0%
#16937 sqlitebrowser/tap/db4subsqlitefts@5 4 0%
#16938 manelatun/bottles/ruby@3.2 4 0%
#16939 uetchy/nlp/julius-dictation-kit 4 0%
#16940 xiw/crossbuild/x86_64-linux-gnu-gdb 4 0%
#16941 chenrui333/tap/vgo 4 0%
#16942 brevdev/nvcf/nvcf 4 0%
#16943 chenrui333/tap/cloudlens 4 0%
#16944 chenrui333/tap/sheetui 4 0%
#16945 elinks 4 0%
#16946 allenap/utils/unison-confgen 4 0%
#16947 aleksrutins/tap/amber-bash 4 0%
#16948 buildtool/taps/build-tools 4 0%
#16949 tgragnato/tap/terraform@1.6 4 0%
#16950 exaile/exaile/exaile 4 0%
#16951 studiomax/elastic-linux/kibana-full 4 0%
#16952 typesense/tap/typesense-server@0.25.1 4 0%
#16953 grafana/grafana/grafana-agent 4 0%
#16954 bbenchen/bloop/bloop 4 0%
#16955 shivammathur/extensions/amqp@8.5 4 0%
#16956 cartero 4 0%
#16957 homebrew/linux-fonts/font-sarasa-gothic 4 0%
#16958 shivammathur/extensions/ds@7.0 4 0%
#16959 homebrew/linux-fonts/font-iosevka-ss01 4 0%
#16960 ampersandhq/php/amp-php@7.1 4 0%
#16961 homebrew/linux-fonts/font-iosevka-ss18 4 0%
#16962 yuccastream/tap/yucca 4 0%
#16963 kabel/pecl/php@8.1-apcu 4 0%
#16964 chenrui333/tap/usort 4 0%
#16965 gitversion@5 4 0%
#16966 robotlocomotion/director/clang-format@12 4 0%
#16967 hazelcast/hz/hazelcast-management-center@5.5.1 4 0%
#16968 ameshkov/tap/dnscrypt 4 0%
#16969 shivammathur/extensions/ssh2@8.5 4 0%
#16970 eso/pipelines/esopipe-cr2re-demo 4 0%
#16971 chonla/universe/cotton 4 0%
#16972 mikessh/repseq/migmap-macos 4 0%
#16973 shivammathur/extensions/yaml@7.0 4 0%
#16974 brewsci/bio/alevin-fry 4 0%
#16975 acifani/tap/formula1-go 4 0%
#16976 snyk/snyk/snyk 4 0%
#16977 modularml/packages/modular_rc 4 0%
#16978 project-hamilton/tgenv/tgenv 4 0%
#16979 lgarron/lgarron/reveal-sd-card-backup-dcim 4 0%
#16980 tombruijn/lintje/lintje 4 0%
#16981 mattrinternal/tap/mattrcli 4 0%
#16982 zeroc-ice/tap/freeze 4 0%
#16983 oven-sh/bun/bun@1.1.36 4 0%
#16984 xdev-developer/tap/gen4s 4 0%
#16985 chenrui333/tap/papis 4 0%
#16986 openssh-portable 4 0%
#16987 hazelcast/hz/hazelcast@5.0.5 4 0%
#16988 hashicorp/internal/hcloud 4 0%
#16989 fairrootgroup/fairsoft/fairlogger 4 0%
#16990 textualize/homebrew/toolong 4 0%
#16991 songmu/tap/kibelasync 4 0%
#16992 sfiera/gn/gn 4 0%
#16993 cfacorp/tap/alohomora 4 0%
#16994 amberframework/micrate/micrate 4 0%
#16995 optimizers/cutest/netlib 4 0%
#16996 manelatun/bottles/gcc 4 0%
#16997 heuermh/parquet-tools/duckdb-parquet-tools 4 0%
#16998 ryooooooga/tap/zouch 4 0%
#16999 sidaf/pentest/commix 4 0%
#17000 avianlabs/tap/solana 4 0%
#17001 chenrui333/tap/emplace 4 0%
#17002 chenrui333/tap/seamstress 4 0%
#17003 homebrew/linux-fonts/font-iosevka-ss13 4 0%
#17004 chenrui333/tap/zero 4 0%
#17005 manelatun/bottles/gobject-introspection 4 0%
#17006 ryooooooga/tap/qwy 4 0%
#17007 edgeworx/edgectl/edgectl 4 0%
#17008 knsaas/tap/kubeasy-cli 4 0%
#17009 timescaledb/tap/timescaledb-toolkit 4 0%
#17010 goyalmunish/reminder/reminder 4 0%
#17011 chocoford/repo/swifty-dmg 4 0%
#17012 octave-app/octave-app/qt_5.15 4 0%
#17013 homebrew/linux-fonts/font-courier-new 4 0%
#17014 chenrui333/tap/dockerfilegraph 4 0%
#17015 nax-tech/tap/nax 4 0%
#17016 michel-kraemer/citeproc-java/citeproc-java 4 0%
#17017 symopsio/tap/symflow 4 0%
#17018 tonyseek/premake/premake4 4 0%
#17019 knative-extensions/kn-plugins/source-kafka 4 0%
#17020 alphabetum/taps/hosts 4 0%
#17021 chenrui333/tap/zig@0.12 4 0%
#17022 digitallysavvy/tap/ten-agent 4 0%
#17023 a1ecbr0wn/bcd/bcd 4 0%
#17024 eso/pipelines/gsl@2.6 4 0%
#17025 linuxbrew/fonts/font-fantasque-sans-mono-nerd-font 4 0%
#17026 augustdev/enchanted/enchanted 4 0%
#17027 brewsci/bio/mview 4 0%
#17028 agilgur5/tap/docopts 4 0%
#17029 doodlescheduling/kubectl-del-namespace/kubectl-del-namespace 4 0%
#17030 uenob/smlsharp/smlsharp 4 0%
#17031 ehok/tap/dbcli 4 0%
#17032 arrow2nd/tap/nimotsu 4 0%
#17033 skinny/eva/elasticsearch 4 0%
#17034 thomaspoignant/tap/api-scenario 4 0%
#17035 ehok/tap/dbac 4 0%
#17036 sharedkubeio/skctl/skctl 4 0%
#17037 homebrew/nginx/openresty 4 0%
#17038 ilc 4 0%
#17039 skynet-core/skynet/openmp 4 0%
#17040 nwoolls/cryptocoin/cgminer 4 0%
#17041 kevemueller/ksysroot/ksysroot_aarch64-freebsd15@15.0-freebsd-current 4 0%
#17042 vulnapi 4 0%
#17043 digitalspacestdio/common/libpam 4 0%
#17044 chenrui333/tap/lola 4 0%
#17045 tuist/tuist/tuist@3.21.1 4 0%
#17046 open-component-model/tap/ocm@0.20.1 4 0%
#17047 homebrew/cask/cmake@3.21.2 4 0%
#17048 taiki-e/tap/cargo-no-dev-deps 4 0%
#17049 chenrui333/tap/gitlabform 4 0%
#17050 pavelzw/pavelzw/cythonbuilder 4 0%
#17051 brewsci/bio/easel 4 0%
#17052 eso/pipelines/molecfit-third-party 4 0%
#17053 qt@6.8 4 0%
#17054 josh/tap/tokyonight-extras 4 0%
#17055 devopyio/yamlfmt/yamlfmt 4 0%
#17056 kevemueller/ksysroot/ksysroot_armv7-linux6.12-musleabihf@99edge-alpine 4 0%
#17057 tamarin-prover/tap/libbuddy 4 0%
#17058 dotenvx/brew/dotenvx-ext-vault 4 0%
#17059 pagerduty/pd_brews/pd-ssh 4 0%
#17060 maelvalais/amc/auto-multiple-choice 4 0%
#17061 yugabyte/tap/yb-voyager@1.8.11 4 0%
#17062 bschaatsbergen/cidr/cidr 4 0%
#17063 cloudfoundry-community/cf/spruce 4 0%
#17064 zhaojy0527/redisw/redisw 4 0%
#17065 aerobounce/mpv-build/mpv-alt 4 0%
#17066 brewsci/bio/biobloomtools 4 0%
#17067 netlify/git-credential-netlify/git-credential-netlify 4 0%
#17068 martido/brew-graph/brew-graph 4 0%
#17069 meltwater/fks/kops 4 0%
#17070 shivammathur/extensions/psr@8.0 4 0%
#17071 typesense/tap/typesense-server@0.24.1 4 0%
#17072 chenrui333/tap/gtree 4 0%
#17073 bbcp 4 0%
#17074 antlr@2 4 0%
#17075 adityanagar10/adityanagar10/too-doo 4 0%
#17076 chenrui333/tap/nhost 4 0%
#17077 aiotter/htop-vim/htop-vim 4 0%
#17078 diffblue/cbmc/cbmc@6.1.1 4 0%
#17079 droidraja/tap/jeera 4 0%
#17080 sdl_net 4 0%
#17081 sidaf/pentest/ridenum 4 0%
#17082 sidaf/pentest/inception 4 0%
#17083 bww/stable/pubsub-cli 4 0%
#17084 namespacelabs/namespace/nsc-remote-builder 4 0%
#17085 drone/drone/drone@1.2.4 4 0%
#17086 shopify/private/clouddo-cli 4 0%
#17087 jikkenio/jikken/jikken 4 0%
#17088 wundergraph/wundergraph/wunderctl 4 0%
#17089 aegoroff/tap/solv 4 0%
#17090 caspian-x/tools/go-cli-tools 4 0%
#17091 kabel-salat/qt62lts/postgresql@9.6 4 0%
#17092 athos/tap/trenchman 4 0%
#17093 metrodango/pip3line/pip3line 4 0%
#17094 manelatun/catalina/readline 4 0%
#17095 cordialsys/dl/treasury-cli 4 0%
#17096 ciaarraa/by-ciaarraa/whats-the-weather 4 0%
#17097 mimium-org/mimium/mimium 4 0%
#17098 fubarhouse/pygmy/pygmy 4 0%
#17099 chenrui333/tap/xmlformatter 4 0%
#17100 mohammad-mallaee/fusion-tap/fusion 4 0%
#17101 chenrui333/tap/hclgrep 4 0%
#17102 zml2008/abbuilds/mergiraf 4 0%
#17103 octave-app/octave-app/buildbot 4 0%
#17104 rfc1459/personal/x3270-x11 4 0%
#17105 chenrui333/tap/kcl 4 0%
#17106 prebuilt-amiga-dev-tools/prebuilt-amiga-dev-tools/vasmm68k 4 0%
#17107 ucb-bar/riscv/riscv-gnu-toolchain 4 0%
#17108 brewsci/base/rstudio-server 4 0%
#17109 qp 4 0%
#17110 chenrui333/tap/wp-cli 4 0%
#17111 dreadl0ck/formulas/netcap 4 0%
#17112 sumologic-labs/tap/sumocli 4 0%
#17113 tuist/tuist/tuist@4.29.1 4 0%
#17114 ontogen/tap/ontogen 4 0%
#17115 goreleaser/tap/goreleaser-pro@1 4 0%
#17116 tueda/form/form@4.3.1 4 0%
#17117 octave-app/octave-app/qt-octapp_5.15.12 4 0%
#17118 brewsci/bio/dsh-bio 4 0%
#17119 hupe1980/mwaacli/mwaacli 4 0%
#17120 nerdrew/tap/oneliners 4 0%
#17121 soundscaperenderer/ssr/asdf 4 0%
#17122 chenrui333/tap/terraform-diff 4 0%
#17123 chenrui333/tap/fortitude 4 0%
#17124 tombell/formulae/tm 4 0%
#17125 jdxcode/tap/usage 4 0%
#17126 z80oolong/wm/icewm 4 0%
#17127 koki-develop/tap/slp 4 0%
#17128 dpo/mumps-jl/mpich-scotch 4 0%
#17129 wrynegade/brew/scwrypts@4.4 4 0%
#17130 readbysearch/code2pdf/code2pdf 4 0%
#17131 chenrui333/tap/cargo-clone 4 0%
#17132 opencodeco/phpctl/phpctl 4 0%
#17133 chenrui333/tap/pingu 4 0%
#17134 orphoros/core/appicongen 4 0%
#17135 sourcegraph/app/sourcegraph 4 0%
#17136 easytocloud/tap/akskrotate 4 0%
#17137 vladimirmikhailov/pgtreats/pg_dirtyread 4 0%
#17138 fahasch/formulae/python-adaptive 4 0%
#17139 heroesofcode/taps/xrun 4 0%
#17140 bradfeehan/formulae/aws-vault-exec-wrapper 4 0%
#17141 davidchall/hep/partons 4 0%
#17142 tseemann/bioinformatics-linux/gemma 4 0%
#17143 homebrew/nginx/rtmp-nginx-module 4 0%
#17144 o37-autoforge/cloudscript/cloudscript 4 0%
#17145 homebrew/linux-fonts/font-zeyada 4 0%
#17146 lucasepe/cli-tools/txtimg 4 0%
#17147 itsdanielfelix/tap/commusoft 4 0%
#17148 tuist/tuist/tuist@4.2.5 4 0%
#17149 homebrew/cask/opencv@4.5.5 4 0%
#17150 ackerr/tap/lab 4 0%
#17151 cxwx/cxbrew/cpp2048 4 0%
#17152 pugetsoundandvision/pugetsoundandvision/ltotools 4 0%
#17153 chenrui333/tap/bombardier 4 0%
#17154 onfocusio/libs/snappy 4 0%
#17155 guoxbin/guoxbin/dtool 4 0%
#17156 oven-sh/bun/bun@1.1.5 4 0%
#17157 nodenv/nodenv/jetbrains-npm 4 0%
#17158 processspy 4 0%
#17159 na-trium-144/gazebo/simbody 4 0%
#17160 llimllib/git-ls/git-ls 4 0%
#17161 drn/tap/nerd-ls 4 0%
#17162 szonov/utils/reindexer@4 4 0%
#17163 torxakis/torxakis/cvc4@1.7 4 0%
#17164 takaishi/fomulas/kelm 4 0%
#17165 dlr-ts/sumo/sumo@1.7.0 4 0%
#17166 kishaningithub/tap/shopify-csv-download 4 0%
#17167 mfschumann/tap/mbuffer 4 0%
#17168 ckt114/tap/kubeswitch 4 0%
#17169 yugabyte/yugabytedb/yugabytedb@2.17 4 0%
#17170 qtysdk/toys/daily-scripts 4 0%
#17171 gmrandazzo/gmr/autodock-vina 4 0%
#17172 homebrew/linux-fonts/font-geist 4 0%
#17173 fluxfederation/apache-arrow/apache-arrow@8 4 0%
#17174 watch-sim 4 0%
#17175 chenrui333/tap/sarif-tools 4 0%
#17176 brewsci/bio/oarfish 4 0%
#17177 rasukarusan/tap/fzf-bluetooth-connect 4 0%
#17178 brewsci/bio/bam2fastx 4 0%
#17179 dapr/tap/dapr-cli@1.11.0-rc.3 4 0%
#17180 microsoft/mssql-release/msodbcsql17@17.8.1.1 4 0%
#17181 adborroto/shellgpt/shellgpt 4 0%
#17182 coin-or-tools/coinor/glpk@448 4 0%
#17183 tinyzimmer/bg3mods-feed/bg3mods-feed 4 0%
#17184 chenrui333/tap/reformat-gherkin 4 0%
#17185 shivammathur/extensions/propro@7.2 4 0%
#17186 chenrui333/tap/hf 4 0%
#17187 chenrui333/tap/lazyjj 4 0%
#17188 chenrui333/tap/nvrs 4 0%
#17189 afiller/pathmate/nvm@0.39.1 4 0%
#17190 tinderbackend/tools/stacks 4 0%
#17191 raza9992/tap/veracode-cli 4 0%
#17192 kevinschaul/core/maputnik 4 0%
#17193 yjstyle/brew/mongodb-community 4 0%
#17194 protobuf@3.6 4 0%
#17195 autoasset/abrarion/abrarion 4 0%
#17196 chenrui333/tap/sgpt 4 0%
#17197 bfontaine/utils/zprint 4 0%
#17198 brewsci/bio/ska 4 0%
#17199 matchy256/matchy/ng-utf8 4 0%
#17200 chainguard-dev/tap/dfc 4 0%
#17201 smallstep/smallstep/step 4 0%
#17202 i-want-tobelieve/formulae/yakite 4 0%
#17203 secator 4 0%
#17204 chenasraf/treelike/treelike 4 0%
#17205 chenrui333/tap/tftree 4 0%
#17206 chenrui333/tap/gut 4 0%
#17207 tideways/profiler/tideways-php@8.0 4 0%
#17208 astronomer/tap/astro@1.25.0 4 0%
#17209 chenrui333/tap/addons-linter 4 0%
#17210 mpir 4 0%
#17211 nwithan8/tap/pcopy 4 0%
#17212 busyjay/trolley/caddy-webdav-l4 4 0%
#17213 edc/rdkit/rdkit 4 0%
#17214 sculley/formula/terraform-variable-sort 4 0%
#17215 elympics/homebrew/elympics-cli 4 0%
#17216 tomburdge/dockpack/dockpack 4 0%
#17217 yurijmikhalevich/tap/cython-python312@3.0.11 4 0%
#17218 rusty-ferris-club/tap/backpack 4 0%
#17219 tudelft3d/software/val3dity 4 0%
#17220 eso/pipelines/wcslib@8.3 4 0%
#17221 jlp04/homebrew/xdg-utils 4 0%
#17222 stknohg/tap/ec2rdp 4 0%
#17223 dante-biase/x2x/py2bin 4 0%
#17224 kavinraja-g/tap/crossplane-docs 4 0%
#17225 mistydemeo/formulae/ymfmidi 4 0%
#17226 yuviherziger/tomodo/tomodo 4 0%
#17227 stilvoid/tools/please 4 0%
#17228 kevemueller/ksysroot/ksysroot_x86_64-freebsd14 4 0%
#17229 kphrx/core/ghc 4 0%
#17230 homebrew-zathura/zathura/girara 4 0%
#17231 lgarron/lgarron/thermal-pressure 4 0%
#17232 stack1ng/stack1ng/ftr 4 0%
#17233 rafttio/tap/raftt-edge 4 0%
#17234 goodeggs/devops/sslayer 4 0%
#17235 takebayashi/git-calver/git-calver 4 0%
#17236 alecuba16/tap/aws-sso-creds 4 0%
#17237 vanyauhalin/osub/osub 4 0%
#17238 chenrui333/tap/emoj 4 0%
#17239 chenrui333/tap/md2pdf 4 0%
#17240 chenrui333/tap/fast-xml-parser 4 0%
#17241 say4n/say4n/jf 4 0%
#17242 yann-r/canettes/rar-unrar 4 0%
#17243 andycamp/seabolt/seabolt 4 0%
#17244 samtay/tui/so 4 0%
#17245 angeliquestamaria/fvm/fvm 4 0%
#17246 fugue/regula/regula 4 0%
#17247 chenrui333/tap/rtop 4 0%
#17248 jlutil 4 0%
#17249 l18/tools/swifthivecli 4 0%
#17250 teamgoodones/tap/ollie 4 0%
#17251 maswag/scientific/pplite 4 0%
#17252 mutagen-io/mutagen/mutagen@0.13 4 0%
#17253 davidchall/hep/gosam 4 0%
#17254 c3-e/tools/brew-gem 4 0%
#17255 imatson9119/bee-ai-fact-consolidator/bee-ai-fact-consolidator 4 0%
#17256 lucasepe/cli-tools/msgdrop 4 0%
#17257 dwcaress/mbsystem/mbsystem-beta 4 0%
#17258 sratabix/taps/aws-gate 4 0%
#17259 defenseunicorns/tap/zarf@0.40.1 4 0%
#17260 boynton/tap/sadl 4 0%
#17261 koki-develop/tap/typingo 4 0%
#17262 suzuki-shunsuke/circleci-config-merge/circleci-config-merge 4 0%
#17263 microsoft/mssql-release/mssql-tools@17.6.1.1 4 0%
#17264 nativeos/i386-elf-toolchain/i386-elf-gcc@11.1 4 0%
#17265 chenrui333/tap/prefligit 4 0%
#17266 seann-moser/caseconvert/caseconvert 4 0%
#17267 python-boto3 4 0%
#17268 microsoft/mssql-release/msodbcsql17@17.10.6.1 4 0%
#17269 nwtgck/piping-tunnel/piping-tunnel 4 0%
#17270 szampier/pipelines/esopipe-matisse-recipes 4 0%
#17271 tubbo/tap/homer 4 0%
#17272 sdl_sound 4 0%
#17273 mify-io/tap/mify 4 0%
#17274 homebrew/linux-fonts/font-iosevka-ss04 4 0%
#17275 crunchydata/crunchytaps/postgresql@16 4 0%
#17276 bunenv 4 0%
#17277 matfire/matfire/hammer 4 0%
#17278 nelonn/tap/gitdeps 4 0%
#17279 gnostr-org/gnostr-org/gnostr-tui 4 0%
#17280 materializeinc/cockroach/cockroach 4 0%
#17281 littlehorse-enterprises/lh/lhctl@0.11 4 0%
#17282 johanvdhaegen/tools/bitfield 4 0%
#17283 smillerdev/tap/phpdraft 4 0%
#17284 asari-fd/mimi/mimiio 4 0%
#17285 zwaldowski/tap/python@3.12 4 0%
#17286 synchronal/tap/medic-ext-elixir 4 0%
#17287 kartavyasharma/trapp/trapp 4 0%
#17288 devasghar/portkill/homebrew-portkill 4 0%
#17289 manelatun/bottles/doxygen 4 0%
#17290 davidledwards/keyb/keyb 4 0%
#17291 manelatun/bottles/gdbm 4 0%
#17292 chriswiegman/gh-backup/gh-backup 4 0%
#17293 amiaopensource/amiaos/audioqc 4 0%
#17294 shivammathur/extensions/phalcon5@7.4 4 0%
#17295 sidaf/pentest/nishang 4 0%
#17296 asseco-pst/repo/imagemagick 4 0%
#17297 benammann/tap/git-secrets 4 0%
#17298 menci/libvirt-m1/gtk-vnc 4 0%
#17299 manelatun/bottles/postgresql@13 4 0%
#17300 sigstore/tap/rekor-cli 4 0%
#17301 nugulinux/sdk/libnugu-extension 4 0%
#17302 anttweakbar 4 0%
#17303 manelatun/bottles/libuv 4 0%
#17304 manelatun/bottles/c-ares 4 0%
#17305 bryanwills/coder/coder@1 4 0%
#17306 chenrui333/tap/mfa 4 0%
#17307 isindir/git-get/git-get 4 0%
#17308 cqlabs/dcm/dcm@1.18.1 4 0%
#17309 zuisong/tap/rs-fortune 4 0%
#17310 blacktop/tap/frida-node 4 0%
#17311 pinatacloud/files-cli/files-cli 4 0%
#17312 tuist/tuist/tuist@4.3.0 4 0%
#17313 cxwx/cxbrew/gomock 4 0%
#17314 shawnxlw/tap/kubernetes-tools 4 0%
#17315 drolando/deadsnakes/python36 4 0%
#17316 mutagen 4 0%
#17317 adaemonthread/aklivity/zilla 4 0%
#17318 mohitgupta07/cliphit/cliphit 4 0%
#17319 planetlabs/tap/go-stac 4 0%
#17320 chenrui333/tap/goodls 4 0%
#17321 cloudgraphdev/tap/cg 4 0%
#17322 ozcap/quick-init/quick-init 4 0%
#17323 neved4/tap/koino 4 0%
#17324 chenrui333/tap/retype 4 0%
#17325 draftbrew/tap/dut 4 0%
#17326 chenrui333/tap/satty 4 0%
#17327 wang-q/tap/daligner 4 0%
#17328 rosco-m68k/toolchain/gcc-cross-m68k@12 4 0%
#17329 scottylogan/brewhouse/misc-scripts 4 0%
#17330 tuist/tuist/tuistenv@3.28.0 4 0%
#17331 chenrui333/tap/tfprovidercheck 4 0%
#17332 mulle-kybernetik/software/foundation-developer 4 0%
#17333 carmal891/pvsadm/pvsadm 4 0%
#17334 aconchillo/guile/guile-cairo 4 0%
#17335 spotinst/tap/oceancd 4 0%
#17336 nxtruong/tap/isync 4 0%
#17337 brewsci/bio/masurca 4 0%
#17338 leoafarias/fvm/fvm@3.1.4 4 0%
#17339 kevemueller/ksysroot/ksysroot_aarch64-freebsd13@13.4-freebsd-stable 4 0%
#17340 chenrui333/tap/zig@0.11 4 0%
#17341 gromgit/fuse/goofys-mac 4 0%
#17342 eso/pipelines/fftw@3.3.9 4 0%
#17343 mic-u/ecsher/ecsher 4 0%
#17344 2mawi2/collect/collect 4 0%
#17345 darwin-containers/formula/bindfs 4 0%
#17346 steffakasid/kubectl-co/kubectl-co 4 0%
#17347 ryu0118/kusa/kusa 4 0%
#17348 trombonehero/grading/libgrading 4 0%
#17349 worlddowntown/taps/sort-pbxproj 4 0%
#17350 heuermh/parquet-tools/sea-eagle 4 0%
#17351 testnode@14 4 0%
#17352 shivammathur/extensions/protobuf@7.1 4 0%
#17353 z80oolong/tmux/tmux-HEAD 4 0%
#17354 chenrui333/tap/llmdog 4 0%
#17355 nikaro/tap/openring 4 0%
#17356 olets/tap/zsh-abbr@5 4 0%
#17357 rothgar/tap/tsv-utils 4 0%
#17358 shivammathur/extensions/msgpack@5.6 4 0%
#17359 elprofesor96/repos/operation.repo 4 0%
#17360 rahulmedicharla/kubefs/kubefs 4 0%
#17361 chenrui333/tap/resinator 4 0%
#17362 juicedata/tap/juicesync 4 0%
#17363 nunogois/brews/unleash 4 0%
#17364 mbahgojol/ghozimahdi-cli/gm 4 0%
#17365 josh/tap/tailscale-cli 4 0%
#17366 boyanxu/brew/jira-cli 4 0%
#17367 tizee/personal/tmux 4 0%
#17368 patrickcping/dvtf-pingctl/dvtf-pingctl 4 0%
#17369 guardian/devtools/self-assessment 4 0%
#17370 tschaub/tap/stash 4 0%
#17371 gocardless/taps/goodhosts 4 0%
#17372 chenrui333/tap/nak 4 0%
#17373 tox/tox/utox 4 0%
#17374 maxim-belkin/xorg/xrandr 4 0%
#17375 chenrui333/tap/ziglint 4 0%
#17376 roppenlabs/rapido/rapidoctl 4 0%
#17377 astroidmail/astroid/webkitgtk 4 0%
#17378 sqlitebrowser/tap/db4subqt@5 4 0%
#17379 ensembl/external/htslib131 4 0%
#17380 defenseunicorns/tap/zarf@0.32.4 4 0%
#17381 technicallyjosh/easy-params/easy-params 4 0%
#17382 moyix/libdwarf/libdwarf 4 0%
#17383 veeso/tuifeed/tuifeed 4 0%
#17384 jdupes-new 4 0%
#17385 chenrui333/tap/zlint 4 0%
#17386 nejohnson2/sdr/libosmocore 4 0%
#17387 aws/tap/container-tools 4 0%
#17388 chenrui333/tap/iftree 4 0%
#17389 linuxbrew/fonts/font-meslo-lg-nerd-font 4 0%
#17390 onlynone/tap/berkeley-db@5 4 0%
#17391 mistydemeo/cargodisttest/axolotlsay 4 0%
#17392 saibit-tech/tap/ogc-cli@0.0.4 4 0%
#17393 jduhamel/emacs-plus/emacs-plus@30 4 0%
#17394 ginac@1.8.6 4 0%
#17395 grafana/grafana/mimirtool 4 0%
#17396 hirosassa/tap/sgpt 4 0%
#17397 shivammathur/extensions/phalcon5@8.4 4 0%
#17398 allenap/utils/rust-petname 4 0%
#17399 datumforge/tap/datum 4 0%
#17400 fullqueuedeveloper/fullqueuedeveloper/spx 4 0%
#17401 abroudoux/tap/dk 4 0%
#17402 cxwx/cxbrew/npystream 4 0%
#17403 sergiomss/tap/ks 4 0%
#17404 brotherbui/homebrew/localproxy 4 0%
#17405 takesako/sigrok/sigrok-firmware-fx2lafw 4 0%
#17406 moisutsu/tap/nbcat 4 0%
#17407 matfire/matfire/libsqltui 4 0%
#17408 marimo 4 0%
#17409 reduce-algebra/reduce-algebra/reduce 4 0%
#17410 chenrui333/tap/flow 4 0%
#17411 z80oolong/eaw/mutt@2.2.12 4 0%
#17412 vapor/tap/ctls 4 0%
#17413 clojure/tools/clojure@1.11.1.1435 4 0%
#17414 ironclad/brew/openresty 4 0%
#17415 uffizzi-test 4 0%
#17416 ludovicianul/tap/hq 4 0%
#17417 nicerloop/nicerloop/macos-env-sync 4 0%
#17418 encoredev/tap/encore-beta 4 0%
#17419 blaahaj/foo/vtracer 4 0%
#17420 cowboy-bebug/tap/kommit 4 0%
#17421 jonathanruiz/tap/wakey 4 0%
#17422 z80oolong/tmux/tmux@3.3 4 0%
#17423 eric9n/tap/clade 4 0%
#17424 gittools 4 0%
#17425 a-know/tap/pi 4 0%
#17426 udho/mapvas/mapvas 4 0%
#17427 tombell/formulae/ensong 4 0%
#17428 sj14/tap/jellyctl 4 0%
#17429 purplebooth/repo/gfmfmt 4 0%
#17430 asimov-platform/tap-new/asimov-cli 4 0%
#17431 chenrui333/tap/kirimase 4 0%
#17432 spmfte/tuiodo/tuiodo 4 0%
#17433 pjaudiomv/awsd/awsd 4 0%
#17434 hawkw/x86_64-pc-elf/x86_64-pc-elf-binutils 4 0%
#17435 homebrew/linux-fonts/font-udev-gothic-nf 4 0%
#17436 kevemueller/ksysroot/ksysroot_riscv64-linux-musl 4 0%
#17437 joachimdalen/bicepdocs/bicepdocs 4 0%
#17438 rcmdnk/rcmdnkpac/screenutf8 4 0%
#17439 akash-joshi/akash-joshi/better-whisper 4 0%
#17440 wangkang/fork/aicommit 4 0%
#17441 jmuelbert/qtifw/qtifw 4 0%
#17442 loveholidays/tap/ripley 4 0%
#17443 semgrep/infra/libxmlsec1@1.2.37 4 0%
#17444 johanvdhaegen/tools/uhubctl 4 0%
#17445 bates64/brew/mips-linux-gnu-binutils 4 0%
#17446 tillitis/tkey/tkey-random-generator 4 0%
#17447 austriacard/acdislinux/acdisp11manager 4 0%
#17448 brewsci/bio/staden-io-lib 4 0%
#17449 chenrui333/tap/sdl_ttf 4 0%
#17450 chenrui333/tap/np 4 0%
#17451 happynclient/taps/happynet 4 0%
#17452 tschaub/tap/serve 4 0%
#17453 eddieantonio/eddieantonio/ocreval 4 0%
#17454 kabel/php-ext/php@8.0-imap 4 0%
#17455 brewsci/bio/veryfasttree 4 0%
#17456 craftypath/tap/gotf 4 0%
#17457 xampp 4 0%
#17458 vleonbonnet/emacsmacport/emacs-mac 4 0%
#17459 chenrui333/tap/sdl_sound 4 0%
#17460 octave-app/octave-app/qt-octapp_5.15.11 4 0%
#17461 sethkor/tap/connect-backup 4 0%
#17462 szampier/pipelines/esopipe-muse-recipes 4 0%
#17463 shivammathur/extensions/protobuf@7.0 4 0%
#17464 petsc@3.20 4 0%
#17465 szampier/pipelines/esopipe-eris-recipes 4 0%
#17466 janbuecker/custom/gci 4 0%
#17467 szampier/pipelines/esopipe-xshoo-recipes 4 0%
#17468 tuist/tuist/tuist@3.39.0 4 0%
#17469 lyraphase/right2repair/go 4 0%
#17470 eznix86/timetrace/timetrace 4 0%
#17471 gllvm 4 0%
#17472 brewforge/chinese/alist 4 0%
#17473 na-trium-144/webcface/tiny-process-library-static 4 0%
#17474 brewsci/bio/libmcfp 4 0%
#17475 kevemueller/ksysroot/ksysroot_x86_64-freebsd13 4 0%
#17476 idleberg/dbxcli/dbxcli 4 0%
#17477 transporter-cli 4 0%
#17478 cedric0303/tap/hkidgenerator 4 0%
#17479 happn-app/public/locmapper 4 0%
#17480 dataframehq/tap/whale 4 0%
#17481 parquet-tools 4 0%
#17482 stek29/idevice/liboffsetfinder64 4 0%
#17483 kevemueller/ksysroot/ksysroot_aarch64-linux-gnu@12-debian 4 0%
#17484 tienex/moretti/qemu-esp32 4 0%
#17485 tnahs/formulas/readstor 4 0%
#17486 hadielyakhni/tap/xkcp 4 0%
#17487 minimal-racket2 4 0%
#17488 chenrui333/tap/terraform-module-versions 4 0%
#17489 tolgayakar/wmip/wmip 4 0%
#17490 dsgt-dlp/dlp-cli/dlp-cli 4 0%
#17491 neved4/tap/unarj 4 0%
#17492 oven-sh/bun/bun@1.1.2 4 0%
#17493 marle3003/tap/mokapi 4 0%
#17494 vic-gamboa/bearmessenger/bearmessenger 4 0%
#17495 daulet/cmd/cmd 4 0%
#17496 sacharya1980/flite/flite 4 0%
#17497 icecube/icecube/nuflux 4 0%
#17498 marc-shen/zathura/zathura-pdf-poppler 4 0%
#17499 shivammathur/extensions/gnupg@7.2 4 0%
#17500 retrodeep-io/retrodeep/retrodeep 4 0%
#17501 nickolasburr/pfa/trickle 4 0%
#17502 mdt-slog 4 0%
#17503 kiraum/tap/rislive 4 0%
#17504 blacktop/tap/fluxy 4 0%
#17505 jasonpritchard/linux-tools/bin2hex 4 0%
#17506 alan-turing-institute/hut23/whatwhat 4 0%
#17507 brokerql/tap/broker-ql 4 0%
#17508 morpheus-lab/morpheus-versions/morpheus@2.3.6 4 0%
#17509 jakewharton/repo/plex-orphaned-files 4 0%
#17510 chigraph/kf5/kf5-ki18n 4 0%
#17511 gwinstanley/utils/ffmpeg-sofa 4 0%
#17512 phayes/repo/freeport 4 0%
#17513 glorywong/tap/weather-cli 4 0%
#17514 karsyboy/tap/color-ssh 4 0%
#17515 preiter93/mantui/mantui 4 0%
#17516 hahwul/gee/gee 4 0%
#17517 patthemav/custom/clang-format@19 4 0%
#17518 petlack/dotdev/dotdev 4 0%
#17519 cmake@3.10.2 4 0%
#17520 digzyme/third-party-tools/spades@3.15 4 0%
#17521 chenrui333/tap/mender-cli 4 0%
#17522 manelatun/catalina/zstd 4 0%
#17523 frosit/mysql/mysql@5.7 4 0%
#17524 koki-develop/tap/sheep 4 0%
#17525 taiki130/ecsexec/ecsexec 4 0%
#17526 cookcli 4 0%
#17527 sjorek/php/composer27-php72 4 0%
#17528 fishtown-analytics/dbt/dbt@0.17.0 4 0%
#17529 kris-anderson/tap/awsprofile 4 0%
#17530 dericed/cameracarder/cameracarder 4 0%
#17531 lovromazgon/tap/badger-cli 4 0%
#17532 onlynone/tap/docker-mac-dns 4 0%
#17533 sfarrens/sf/bigmac 4 0%
#17534 peter-bread/gamon/gamon 4 0%
#17535 shivammathur/extensions/gnupg@8.5 4 0%
#17536 dream11/tools/nexus 4 0%
#17537 quintia/knp/knp 4 0%
#17538 yesser-studios/yesserstudios/rust-todo 4 0%
#17539 david0/audio/ardour6 4 0%
#17540 cohere-ai/kjobs/kjobs 4 0%
#17541 joemiller/taps/certin 4 0%
#17542 warpcast/formulae/awsh 4 0%
#17543 chriskilding/semver/semver 4 0%
#17544 manelatun/catalina/cmake 4 0%
#17545 pulck/mpvdeps/brotli 4 0%
#17546 martinsirbe/clinkclank/cld 4 0%
#17547 bbkane/tap/grabbit 4 0%
#17548 andy-zhou/cross-compiler/i686-elf-gcc 4 0%
#17549 moonfruit/tap/macmon 4 0%
#17550 homebrew/linux-fonts/font-dejavu-sans-mono-for-powerline 4 0%
#17551 kost/ulx3s/fujprog 4 0%
#17552 ucb-bar/riscv/riscv-pk 4 0%
#17553 ironpark/zapp/zapp 4 0%
#17554 rattatap/tap/op 4 0%
#17555 osgearth 4 0%
#17556 mistydemeo/formulae/cue2ccd 4 0%
#17557 jide/clamp/clamp 4 0%
#17558 jianshu93/bindashtree/bindashtree 4 0%
#17559 izumin5210/tools/gex 4 0%
#17560 justinas-b/tap/statuspageio-maintenance-cli 4 0%
#17561 rgeraskin/homebrew/dump-psql-roles-grants 4 0%
#17562 infisical/get-cli/infisical@0.31.9 4 0%
#17563 render-alpha 4 0%
#17564 datacontract/brew/datacontract 4 0%
#17565 homebrew/linux-fonts/font-impact 4 0%
#17566 homebrew/linux-fonts/font-times-new-roman 4 0%
#17567 talee/core/gstreamer 4 0%
#17568 oven-sh/bun/bun@1.1.33 4 0%
#17569 aa830/donut.c/donut 4 0%
#17570 tuist/tuist/tuist@3.36.0 4 0%
#17571 neved4/tap/wordtidy 4 0%
#17572 chenrui333/tap/sdl_mixer 4 0%
#17573 clojure/tools/clojure@1.12.0.1479 4 0%
#17574 lecrisut/tmt/tmt 4 0%
#17575 alteredtech/test-tap/random-number 4 0%
#17576 tunein/ffmpeg/ffmpeg 4 0%
#17577 ricardodantas/tap/folder-declutter 4 0%
#17578 namolnad/formulae/envcrypt 4 0%
#17579 soycarlo99/dibujo-tap/dibujo 4 0%
#17580 chenrui333/tap/blue 4 0%
#17581 halfprice06/tap-snapgpt/snapgpt 4 0%
#17582 chenrui333/tap/fiona 4 0%
#17583 infuseai/artivc/artivc 4 0%
#17584 mar0x/riscv/riscv-gnu-toolchain 4 0%
#17585 shivammathur/extensions/sqlsrv@7.2 4 0%
#17586 z80oolong/eaw/mutt@2.2.13 4 0%
#17587 smillerdev/tap/php-uuid@8.3 4 0%
#17588 skynet-core/skynet/spirv-llvm-translator@19 4 0%
#17589 ekristen/tap/aws-nuke@2 4 0%
#17590 chenrui333/tap/mmemoji 4 0%
#17591 jawshooah/pyenv/pyenv-default-packages 4 0%
#17592 digitalspacestdio/common/autoconf269 4 0%
#17593 shivammathur/extensions/sqlsrv@7.0 4 0%
#17594 jnewc/taps/simian 4 0%
#17595 gpush 4 0%
#17596 moul/moul/totp-keychain 4 0%
#17597 szampier/pipelines/esopipe-kmos-recipes 4 0%
#17598 microsoft/mssql-release/msodbcsql18@18.0.1.1 4 0%
#17599 airgorah 4 0%
#17600 wndhydrnt/saturn-bot/saturn-bot 4 0%
#17601 david-icracked/websocketpp/websocketpp 4 0%
#17602 r-lib/taps/clang-format@10 4 0%
#17603 anttiharju/packages/vmatch-golangci-lint 4 0%
#17604 pachoyan/scripts-tap/hello-homebrew 4 0%
#17605 kdab/tap/kdsingleapplication-qt6 4 0%
#17606 kevemueller/ksysroot/ksysroot_armv6-linux-musleabihf 4 0%
#17607 zalt50/core/gnuplot 4 0%
#17608 orangain/tap/ktcodeshift 4 0%
#17609 adrielp/tap/schemacheck 4 0%
#17610 homebrew/linux-fonts/font-alumni-sans 4 0%
#17611 codesmooth 4 0%
#17612 omlmd 4 0%
#17613 gdal-legacy 4 0%
#17614 souhaiebtar/tap/unzip 4 0%
#17615 chenrui333/tap/mqtt-cli 4 0%
#17616 manelatun/catalina/lz4 4 0%
#17617 allen-ball/tap/neo4j@4.4 4 0%
#17618 chenrui333/tap/rasterio 4 0%
#17619 everpeace/ssh-agent-filter/ssh-agent-filter 4 0%
#17620 octave-app/octave-app/qscintilla2-octapp-qt5 4 0%
#17621 clownur/tap/fcpst 4 0%
#17622 x-ethr/taps/ethr-cli 4 0%
#17623 recursivetree/xtrackcad/xtrkcad 4 0%
#17624 eero-inc/eero/eero-cli 4 0%
#17625 gy-mate/moodle-to-vikwikiquiz/moodle-to-vikwikiquiz 4 0%
#17626 hnrobert/cmd-video-player/cmd-video-player 4 0%
#17627 neved4/tap/jsmin 4 0%
#17628 chenrui333/tap/gtts 4 0%
#17629 xwmx/taps/vbox 4 0%
#17630 danirukun/ytarchive/ytarchive 4 0%
#17631 brumhard/tap/krewfile 4 0%
#17632 chrihop/gcc_cross_compilers/i686-elf-gdb 4 0%
#17633 oven-sh/bun/bun@1.0.1 4 0%
#17634 svix-mman/svix-cli/svix-cli 4 0%
#17635 chenrui333/tap/gitman 4 0%
#17636 chenrui333/tap/ghfetch 4 0%
#17637 zzhou612/jos/i386-jos-elf-gcc 4 0%
#17638 ethpm/ethpm-cli/ethpm-cli 4 0%
#17639 lifepillar/appleii/passport 4 0%
#17640 justinpchang/nullboard-agent/nullboard-agent 4 0%
#17641 digitalspacestdio/php/php70-imagick 4 0%
#17642 secrethub/tools/secrethub-cli 4 0%
#17643 opencontrol/compliance-masonry/compliance-masonry 4 0%
#17644 yarlson/duh/duh 4 0%
#17645 ensembl/external/percona-client 4 0%
#17646 dpastoor/tap/qvm 4 0%
#17647 esc 4 0%
#17648 chenrui333/tap/envtpl 4 0%
#17649 shivammathur/extensions/zmq@8.1 4 0%
#17650 kost/ulx3s/project-trellis 4 0%
#17651 callum-oakley/tap/jsq 4 0%
#17652 evant/ponysay-rust/ponysay-rust 4 0%
#17653 optibus/tap/optishell 4 0%
#17654 fredldotme/qemu-virgl/qemu-virgl 4 0%
#17655 kabel/pecl/php@8.2-mailparse 4 0%
#17656 kabel/pecl/php@8.2-openswoole 4 0%
#17657 kevemueller/ksysroot/ksysroot_loongarch64-linux6.12-musl@99edge-alpine 4 0%
#17658 dart-lang/dart/dart@2.3 4 0%
#17659 itspriddle/brews/bashword 4 0%
#17660 515hikaru/tap/mdtable2csv 4 0%
#17661 iltommi/brews/pango 4 0%
#17662 stackery/tap/stackery-cli 4 0%
#17663 tessel/tools/gcc-arm 4 0%
#17664 bepinex 4 0%
#17665 waynezhang/tap/yaskkserv2 4 0%
#17666 izqalan/izqalan/firehouse 4 0%
#17667 danielbair/tap/espeak-ng 4 0%
#17668 shivammathur/extensions/uuid@5.6 4 0%
#17669 leoafarias/tap/fvm 4 0%
#17670 morpheus-lab/morpheus-versions/morpheus@2.3.7 4 0%
#17671 szampier/pipelines/esopipe-xshooter 4 0%
#17672 aaron-212/tap/anyzig 4 0%
#17673 heartandu/easyrpc/easyrpc 4 0%
#17674 commitdev/zero/zero 4 0%
#17675 ssanj/zat/zat 4 0%
#17676 2mol/tools/pboy 4 0%
#17677 sebst/test-tap/gothanks 4 0%
#17678 chenrui333/tap/cargo-readme 4 0%
#17679 clojure/tools/clojure@1.11.4.1474 4 0%
#17680 rsvihladremio/tools/ssdownloader 4 0%
#17681 filosottile/gomod/brew-gomod 4 0%
#17682 moul/moul/depviz 4 0%
#17683 trojan 4 0%
#17684 cloudfoundry-community/cf/safe 4 0%
#17685 0risc/tap/doas 4 0%
#17686 elkowar/tap/yolk 4 0%
#17687 indigoviolet/tap/gsheet 4 0%
#17688 parksb/x/md2html 4 0%
#17689 icu4c@72 4 0%
#17690 heavywatal/tap/clippson 4 0%
#17691 tcarrio/percona-toolkit/percona-toolkit 4 0%
#17692 dmlane/tap/crudini 4 0%
#17693 rjshrjndrn/tap/templater 4 0%
#17694 openstatushq/tap/openstatus 4 0%
#17695 odict 4 0%
#17696 callum-oakley/tap/jog 4 0%
#17697 kkrt-labs/kkrt/zkpig 4 0%
#17698 saindriches/mpv-iina/uavs3d 4 0%
#17699 pkelaita/tap/cliff 4 0%
#17700 peter-mbx/peter-mbx/clavus 4 0%
#17701 tchoupinax/brew/ckg 4 0%
#17702 outsystems/odc/neoctl 4 0%
#17703 narrowlink/narrowlink/narrowlink 4 0%
#17704 tgtakaoka/mspgcc/libc-msp430 4 0%
#17705 reitermarkus/tap/svg2dxf 4 0%
#17706 nnao45/pexpo/pexpo 4 0%
#17707 missingtrailingcomma/gummybear/gummybear 4 0%
#17708 breakpointhq/chrome-bandit/chrome-bandit 4 0%
#17709 chenrui333/tap/tun2proxy 4 0%
#17710 gojek/tap/xp 4 0%
#17711 syu-fu/tap/regm 4 0%
#17712 server-updater 4 0%
#17713 astronomer/tap/astro@0.25.5 4 0%
#17714 djotto/tap/bucklespring 4 0%
#17715 kabel/pecl/php@8.1-mailparse 4 0%
#17716 chromy/emerge/emerge 4 0%
#17717 forestnode-io/brew/oneshot 4 0%
#17718 brew-pip 4 0%
#17719 shivammathur/extensions/lua@7.2 4 0%
#17720 envtrack/tap/envtrack 4 0%
#17721 michaelroosz/ssh/ssh-tunnel-manager 4 0%
#17722 craciuncezar/tap/git-smart-checkout 4 0%
#17723 eso/pipelines/esopipe-visir 4 0%
#17724 appliedlogicsystems/als-prolog/als-prolog-64 4 0%
#17725 storj/tap/uplink 4 0%
#17726 sk1m/tools/oidc 4 0%
#17727 linuxbrew/fonts/font-stix 4 0%
#17728 lou1306/formal/cpphoafparser 4 0%
#17729 sendgrid-ops/workstation/mrroboto 4 0%
#17730 uzaaft/tap/heimdall 4 0%
#17731 kcodecs 4 0%
#17732 lenra-io/tools/dofigen 4 0%
#17733 yesser-studios/tap/yesser-todo-cli 4 0%
#17734 gisogrimm/tap/ovbox 4 0%
#17735 pugetsoundandvision/pugetsoundandvision/videotools 4 0%
#17736 akshob/tools/dotfiles-init 4 0%
#17737 jwm 4 0%
#17738 timescam/tap/localsend-go 4 0%
#17739 nostorg/nostr/nostril 4 0%
#17740 python-sap4 4 0%
#17741 fernandotcl/fernandotcl/tinyemu 4 0%
#17742 wagoodman/dive/dive 4 0%
#17743 katoken03/fastrun/fastrun 4 0%
#17744 html-to-markdown 4 0%
#17745 bazelbuild/tap/bazel@7.0.2 4 0%
#17746 willmac16/oss-fpga/nextpnr-ice40 4 0%
#17747 shivammathur/extensions/phalcon3@7.1 4 0%
#17748 sjorek/php/composer22-php74 4 0%
#17749 pianoopera/tap/notion-swallow 4 0%
#17750 michaelaeriksen/drunken-bear/opencv 4 0%
#17751 brewsci/science/plink 4 0%
#17752 xfangfang/wiliwili/ffmpeg-wiliwili 4 0%
#17753 guusli/utils/fasd-guusli 4 0%
#17754 moonfruit/tap/sshpass 4 0%
#17755 telia-oss/tap/aws-env 4 0%
#17756 voioo/tap/td-tui 4 0%
#17757 homebrew/linux-fonts/font-iosevka-ss15 4 0%
#17758 tisba/taps/fritz-tls 4 0%
#17759 homebrew/linux-fonts/font-iosevka-ss16 4 0%
#17760 sjorek/php/composer27-php83 4 0%
#17761 homebrew/linux-fonts/font-iosevka-ss11 4 0%
#17762 md-html 4 0%
#17763 manelatun/bottles/bison 4 0%
#17764 hybras/tap/nitter 4 0%
#17765 manelatun/bottles/rust 4 0%
#17766 amiaopensource/amiaos/audiorecorder 4 0%
#17767 eos/eos/boost@1.85 4 0%
#17768 daveymoores/autolog/autolog 4 0%
#17769 manelatun/bottles/libgit2 4 0%
#17770 manelatun/bottles/brotli 4 0%
#17771 vrecord 4 0%
#17772 brewsci/science/tetgen 4 0%
#17773 manelatun/bottles/certifi 4 0%
#17774 sqlitebrowser/tap/sqlb-openssl@3 4 0%
#17775 sjorek/php/composer22-php83 4 0%
#17776 dlr-ts/sumo/sumo@1.10.0 4 0%
#17777 goodmeasuresllc/extras/git-woe 4 0%
#17778 m2700/tap/nanoid 4 0%
#17779 dbcli/tap/litecli 4 0%
#17780 go-vela/vela/vela-rc 4 0%
#17781 foocode-org/tap/veracode-cli 4 0%
#17782 oven-sh/bun/bun@1.0.8 4 0%
#17783 petsc@3.20.6 4 0%
#17784 brewsci/science/insighttoolkit 4 0%
#17785 deitsch/tap/angler 4 0%
#17786 boost-for-gridpack 4 0%
#17787 ghostlyrics/sweb/sweb-gcc 4 0%
#17788 csris/tap/wait-for-port 4 0%
#17789 bsturdivan/resume-gen/resume-gen 4 0%
#17790 gcli 4 0%
#17791 knative-extensions/kn-plugins/source-kamelet 4 0%
#17792 orcania 4 0%
#17793 brewsci/science/concorde 4 0%
#17794 dotzenith/tap/spotifetch 4 0%
#17795 followtheprocess/tap/gowc 4 0%
#17796 homebrew/linux-fonts/font-im-fell-great-primer 4 0%
#17797 sharpvik/sema/sema 4 0%
#17798 cloudpushdev/tap/cloudpush 4 0%
#17799 kevemueller/ksysroot/ksysroot_s390x-linux-musl 4 0%
#17800 tresf/audio/calf 4 0%
#17801 openstatushq/cli/openstatus 4 0%
#17802 smarter-sh/tap/smarter 4 0%
#17803 dabapps/tap/crab 4 0%
#17804 dgsga/netatalk-dbus/dbus-glib 4 0%
#17805 johanvdhaegen/tools/emacs-cocoa@29 4 0%
#17806 bl4cc4t/vsplugins/vsp-mvtools 4 0%
#17807 mingshun/vmms/vmms 4 0%
#17808 kulapard/tap/eatme 4 0%
#17809 nickolasburr/pfa/darwin-free 4 0%
#17810 neved4/tap/puncia 4 0%
#17811 videoduplicatefinder 4 0%
#17812 oven-sh/bun/bun@1.0.29 4 0%
#17813 oven-sh/bun/bun@1.0.5 4 0%
#17814 itchyny/tap/maze 4 0%
#17815 curkan/public/go2fa 4 0%
#17816 instantiator/presence/presence-cli 4 0%
#17817 coolman947/coolsite/coolsite 4 0%
#17818 gaia-venture/tools/jiva-cli 4 0%
#17819 sevki/gcc_cross_compilers/x86_64-elf-gcc 4 0%
#17820 chenrui333/tap/mdslw 4 0%
#17821 kolja/loriini/loriini 4 0%
#17822 nailuogg/emacs-plus/emacs-plus@31 4 0%
#17823 morse-simulator/morse/morse-simulator 4 0%
#17824 stevenweaver/bio/hyphy 4 0%
#17825 nametaginc/tap/nametag 4 0%
#17826 drin/hatchery/grpc-static 4 0%
#17827 ensembl/ensembl/vienna-rna 4 0%
#17828 brewsci/science/rna-star 4 0%
#17829 homebrew/linux-fonts/font-arial-black 4 0%
#17830 thejumpcloud/tap/kubectl-canary 4 0%
#17831 libyaml@0.2.2 4 0%
#17832 sidneys/homebrew/lua@5.2 4 0%
#17833 tgragnato/tap/terraform@1.9 4 0%
#17834 ebmc 4 0%
#17835 catppuccin/tap/ctp 4 0%
#17836 inflation/stew/vapoursynth-placebo 4 0%
#17837 jimmckay/app2xcode/app2xcode 4 0%
#17838 brewsci/bio/gtk4@4.14 4 0%
#17839 fishtown-analytics/dbt/dbt@0.21.0 4 0%
#17840 cordialsys/treasury/treasury-cli 4 0%
#17841 oscrx/taps/velero@1.13.2 4 0%
#17842 libspectrum 4 0%
#17843 jacobfg/awscreds/aws-sso-profile 4 0%
#17844 chenrui333/tap/luaformatter 4 0%
#17845 k1low/tap/roots 4 0%
#17846 fooyin 4 0%
#17847 trobrock/trobrock/hush 4 0%
#17848 rigscli-go-formula 4 0%
#17849 antham/wo/wo 4 0%
#17850 jerilseb/tap/bash-generator 4 0%
#17851 kabel/pecl/php-msgpack 4 0%
#17852 nixmaldonado/clib/clib 4 0%
#17853 szampier/pipelines/esopipe-cr2res-recipes 4 0%
#17854 asyrjasalo/tmux/tmux 4 0%
#17855 manelatun/catalina/sqlite 4 0%
#17856 zhonguoq/tap/shs 4 0%
#17857 imsingee/kitty/kitty 4 0%
#17858 chenrui333/tap/abc 4 0%
#17859 kevemueller/ksysroot/ksysroot_i586-linux6.12-musl@99edge-alpine 4 0%
#17860 clowdhaus/tap/cookiecluster 4 0%
#17861 picpay/tap/ppup 4 0%
#17862 oven-sh/bun/bun@1.0.24 4 0%
#17863 taylormonacelli/tools/hercow 4 0%
#17864 spotify 4 0%
#17865 scli_homebrew 4 0%
#17866 pchuri/ceph-fuse/ceph-fuse 4 0%
#17867 jonchang/biology/eems 4 0%
#17868 chenrui333/tap/gofakeit 4 0%
#17869 zuisong/tap/go2tv 4 0%
#17870 docker-machine-driver-xhyve 4 0%
#17871 who23/formulae/nchook 4 0%
#17872 nealmick/tap/ned 4 0%
#17873 almonk/ogpk/ogpk 4 0%
#17874 brewsci/science/cdo 4 0%
#17875 pivot-studio/tap/pivot-lang 4 0%
#17876 trashhalo/brews/readcli 4 0%
#17877 talktalklang/tap/talktalk 4 0%
#17878 freecad/freecad/qwtelmer 4 0%
#17879 dataterminalapp/tap/psqlx 4 0%
#17880 vmutils 4 0%
#17881 iridescence 4 0%
#17882 vaibhav1805/kpatcher/kpatcher 4 0%
#17883 synthesis-labs/galactica/galactica 4 0%
#17884 shivammathur/extensions/couchbase@5.6 4 0%
#17885 linuxbrew/fonts/font-inconsolata-nerd-font 4 0%
#17886 hazelcast/hz/hazelcast@5.3.6 4 0%
#17887 shivammathur/extensions/zstd@7.0 4 0%
#17888 chenrui333/tap/bagels 4 0%
#17889 shivammathur/extensions/grpc@5.6 4 0%
#17890 chenrui333/tap/protodot 4 0%
#17891 jacobfg/awscreds/okta-session-login 4 0%
#17892 davidchall/hep/whizard 4 0%
#17893 infrahouse-toolkit 4 0%
#17894 mosra/magnum/magnum-examples 4 0%
#17895 janahrens/iec16022/iec16022 4 0%
#17896 shopify/shopify/libzookeeper 4 0%
#17897 k1low/tap/dirmap 4 0%
#17898 prompt-ops/tap/pops 4 0%
#17899 tannerabread/folder-content-spitter-outter/fcso 4 0%
#17900 astronomer/tap/astro@1.31.0 4 0%
#17901 stacybrock/vim-slim/vim-slim 4 0%
#17902 openresty/brew/ngx-devel-kit 4 0%
#17903 tizee/personal/wezterm 4 0%
#17904 torognes/bioinf/compairr 4 0%
#17905 chenrui333/tap/huber 4 0%
#17906 shivammathur/extensions/zmq@8.2 4 0%
#17907 chrishyperfunc/brew/git-cryptx 4 0%
#17908 chenrui333/tap/asm-lsp 4 0%
#17909 brewsci/bio/mustang 4 0%
#17910 okisanjp/bl/bl 4 0%
#17911 digitalspacestdio/php/php71-imagick 4 0%
#17912 koenrh/tools/urlencode 3 0%
#17913 obliviousai/oblv/oblv-dev 3 0%
#17914 deeptuneai/deeptest/deeptest 3 0%
#17915 xntrik/repo/hcltm 3 0%
#17916 japan7/tap/dakara_check 3 0%
#17917 craigsiemens/tap/update-strings 3 0%
#17918 thehajime/tap/dug 3 0%
#17919 playcover/playcover/playmap 3 0%
#17920 m2700/tap/riscv32-elf-ubuntu-22.04-gcc-nightly 3 0%
#17921 will397/devtools/bazelisk 3 0%
#17922 libftdi0 3 0%
#17923 manticoresoftware/tap-dev/manticore-language-packs 3 0%
#17924 stns/stns-passwd/stns-passwd 3 0%
#17925 oven-sh/bun/bun@1.1.40 3 0%
#17926 zigbrew/packages/zig 3 0%
#17927 chenrui333/tap/terraform 3 0%
#17928 elpatoenlasolas/financial-chatbot/financial-chatbot 3 0%
#17929 indrranil/kubediff/kubediff 3 0%
#17930 babs/tap/multiping 3 0%
#17931 rancher-machine 3 0%
#17932 andrewalevin/qwikgit/qwikgit 3 0%
#17933 zachlatta/gitignorer/gitignorer 3 0%
#17934 kurtosis-tech/tap/kurtosis-cli@0.88.16 3 0%
#17935 wang-q/tap/hnsm 3 0%
#17936 cmars/onionpipe/onionpipe 3 0%
#17937 pomdtr/tap/arc 3 0%
#17938 ric3 3 0%
#17939 wsjcpp/wsjcpp/wsjcpp 3 0%
#17940 letuanhai/tap/fasd-clvv 3 0%
#17941 szampier/pipelines/fftw@3.3.9 3 0%
#17942 ipurity 3 0%
#17943 brewsci/science/p4est 3 0%
#17944 konstantinwirz/tap/kubectl-multiforward 3 0%
#17945 kjuulh/brew/fuzzy-clone 3 0%
#17946 goparrot/tools/goparrot-compose 3 0%
#17947 mdpadberg/tap/mcf 3 0%
#17948 takesako/sigrok/libsigrok 3 0%
#17949 sn3d/tap/kconf 3 0%
#17950 kazu728/gcz/gcz 3 0%
#17951 homebrew/linux-fonts/font-noto-serif 3 0%
#17952 scottylogan/brewhouse/ec2-tools 3 0%
#17953 czchen/repo/esh 3 0%
#17954 jblaschke/mpich-tools/fftw-mpich 3 0%
#17955 fummicc1/geohash/geohash 3 0%
#17956 133943399/php/php@7.4 3 0%
#17957 homebrew/linux-fonts/font-bitter 3 0%
#17958 byrdocs/byrdocs-cli/byrdocs-cli 3 0%
#17959 bazelbuild/tap/bazel@7.2.1 3 0%
#17960 tunnel-labs/tunnel/tunnel-cli 3 0%
#17961 laggardkernel/tap/libass-options 3 0%
#17962 astronomer/tap/astro@0.23.0 3 0%
#17963 vitorgalvao/tiny-scripts/pinboard-waybackmachine 3 0%
#17964 steffakasid/dof/dof 3 0%
#17965 aegoroff/tap/dirstat 3 0%
#17966 dekker1/minizinc/fzn2lp 3 0%
#17967 z80oolong/tmux/tmux@3.6-next 3 0%
#17968 gabe565/tap/moreutils-go 3 0%
#17969 cxwx/cxbrew/sol2 3 0%
#17970 zzhou612/jos/i386-jos-elf-gdb 3 0%
#17971 5amcurfew/5amcurfew/orca 3 0%
#17972 twilio-internal/tap/otk-platform-cli 3 0%
#17973 perarneng/tap/filefuser 3 0%
#17974 cyberbison/custombrew/rsync-manager 3 0%
#17975 pwalch/lonesnake/lonesnake-kit 3 0%
#17976 ericflores108/tap/one-env-cli 3 0%
#17977 certonid/tap/certonid 3 0%
#17978 sequoia-sop 3 0%
#17979 rcmdnk/rcmdnkpac/evernote_mail 3 0%
#17980 kdeps/tap/kdeps 3 0%
#17981 csueiras/reinforcer/reinforcer 3 0%
#17982 chanzuckerberg/tap/runai 3 0%
#17983 dbt-labs/dbt/dbt-postgres@1.4.7 3 0%
#17984 cmake-3.22.2 3 0%
#17985 b-b3rn4rd/tap/json2ssm 3 0%
#17986 humgic/musical-spectrum/musical-spectrum 3 0%
#17987 chandlerhuff/tart/tart-executor 3 0%
#17988 system-theme 3 0%
#17989 dezhaoli/d/d 3 0%
#17990 reverbdotcom/reverb/protopkg 3 0%
#17991 mbwilding/neospleen/neospleen 3 0%
#17992 homebrew/linux-fonts/font-chiron-hei-hk 3 0%
#17993 yugabyte/tap/yb-voyager@1.8.4-rc1 3 0%
#17994 icevans/parms/parms 3 0%
#17995 shivammathur/extensions/phalcon3@5.6 3 0%
#17996 agebox 3 0%
#17997 jlp04/homebrew/libudfread 3 0%
#17998 homebrew/linux-fonts/font-noto-sans 3 0%
#17999 project-planton/tap/cli 3 0%
#18000 gitignore-in/gitignore-in/gitignore-in 3 0%
#18001 imwithye/formula/git-ignore 3 0%
#18002 brewsci/science/ncbi-c++-toolkit 3 0%
#18003 nscaledev/nscale/nscale 3 0%
#18004 brewsci/science/soapdenovo 3 0%
#18005 freifunkmuc/proxyguard-client/proxyguardclient 3 0%
#18006 boost-python3@1.85 3 0%
#18007 kreeblah/sdl-tap/sdl_net 3 0%
#18008 hyxf/cli/xgit 3 0%
#18009 xilyor-inc/xilyor/networkstat 3 0%
#18010 cxwx/cxbrew/filesystem 3 0%
#18011 obay/tap/tfren 3 0%
#18012 tiktuk/personal-hotspot/personal-hotspot.sh 3 0%
#18013 z80oolong/eaw/neomutt@20241212 3 0%
#18014 vladistan/gizmos/wiki2beamer 3 0%
#18015 brewsci/bio/sga 3 0%
#18016 libxmlsec1@1.2.37 3 0%
#18017 derekselander/funpack/mscan 3 0%
#18018 josh/tap/alacritty-catppuccin 3 0%
#18019 iodigital-com/opensearch-maintenance/opensearch@1 3 0%
#18020 laishulu/homebrew/shorm 3 0%
#18021 shivammathur/extensions/phalcon3@7.0 3 0%
#18022 redisw 3 0%
#18023 dmlane/tap/dml-check4duplicates 3 0%
#18024 synchronal/tap/medic-ext-rust 3 0%
#18025 mrz1836/paymail-inspector/paymail-inspector 3 0%
#18026 nerves-hub/tap/nh 3 0%
#18027 blacktop/tap/mcp-say 3 0%
#18028 aconchillo/guile/guile-git 3 0%
#18029 microsoft/mssql-release/msodbcsql18@18.4.1.1 3 0%
#18030 lunasvg 3 0%
#18031 quic/quic/sdl2 3 0%
#18032 heavywatal/tap/phast 3 0%
#18033 wbd-streaming/tap/amigo 3 0%
#18034 virtuslabrnd/scala-cli/scala-cli 3 0%
#18035 pidgin-old 3 0%
#18036 mbecker20/komodo/periphery 3 0%
#18037 ddelange/ffmpeg/ffmpeg 3 0%
#18038 neomantra/tap/srv-plz 3 0%
#18039 pe/new-tap/examplef 3 0%
#18040 tomanthony/brews/queue 3 0%
#18041 hyperproof/hyperproof/hyperproof-cli 3 0%
#18042 d235j/ios-restore-tools/img4tool 3 0%
#18043 alhadis/troff/dpic 3 0%
#18044 nkouevda/nkouevda/estimated-taxes 3 0%
#18045 ibrahim-haroon/tap/git-autocommit-cli 3 0%
#18046 redocly_cli 3 0%
#18047 thecheerfuldev/cli/gitcd 3 0%
#18048 gabor-boros/brew/arangom 3 0%
#18049 frameio/tools/devops-cli 3 0%
#18050 jftuga/tap/changecase 3 0%
#18051 homebrew/portable/portable-openssl 3 0%
#18052 dominicletz/extra/wxmac@3.1.5 3 0%
#18053 samuherek/tap/dips 3 0%
#18054 oven-sh/bun/bun@1.2.4 3 0%
#18055 numary/tap/fctl 3 0%
#18056 kuqja/auto_zsh/auto_zsh 3 0%
#18057 velux/bura/bura 3 0%
#18058 craftamap/tap/bb 3 0%
#18059 lizardbyte/homebrew/rtmpdump 3 0%
#18060 konsole 3 0%
#18061 malleefoul/etc/psximager 3 0%
#18062 kubazulo 3 0%
#18063 rawnly/tap/ai-commit 3 0%
#18064 tapeless-app/tapeless-cli/tapeless 3 0%
#18065 linuxbrew/fonts/font-fira-code 3 0%
#18066 sgeb/mutt/mutt 3 0%
#18067 jackwiseman/brews/mgitstatus 3 0%
#18068 lawmurray/all/doxide 3 0%
#18069 tencentcloud/tccli/tccli-intl-en 3 0%
#18070 birdiecare/dbc/dbc 3 0%
#18071 jonathannotis/webstarter/webstarter 3 0%
#18072 japan7/tap/ffmpegaacsucks 3 0%
#18073 namolnad/formulae/dotenvcrypt 3 0%
#18074 cxwx/cxbrew/plf_indiesort 3 0%
#18075 alcova-ai/tap/perplexity-mcp 3 0%
#18076 z80oolong/tmux/tmux@2.6 3 0%
#18077 shivammathur/extensions/psr@7.2 3 0%
#18078 eden-chan/dumpy/dumpy 3 0%
#18079 xwmx/taps/spotlight-actions 3 0%
#18080 brewsci/bio/groot 3 0%
#18081 rwnx/packages/pynonymizer 3 0%
#18082 ghdwlsgur/outline-vpn/outline-vpn 3 0%
#18083 shivammathur/extensions/psr@7.0 3 0%
#18084 shivammathur/extensions/pdo_sqlsrv@7.0 3 0%
#18085 miiha/formulae/things-cli 3 0%
#18086 ernail/tap/verscout 3 0%
#18087 jez/formulae/bask 3 0%
#18088 kabel/pecl/php@8.2-apcu 3 0%
#18089 viamrobotics/brews/viam-cpp-sdk 3 0%
#18090 cargo-afl 3 0%
#18091 reddit/bugs/bugs 3 0%
#18092 jenish-jain/tap/clarity 3 0%
#18093 redbaron-gt/curl-c-ares/curl 3 0%
#18094 ethcore/ethcore/parity 3 0%
#18095 skynet-core/skynet/openmp@18 3 0%
#18096 chenrui333/tap/gowall 3 0%
#18097 songant/ogc/ogc 3 0%
#18098 macaulay2/tap/phcpack 3 0%
#18099 altnode-org/tap/git-lfs-agent-rclone 3 0%
#18100 anarcher/tap/raindrop-io-mcp-server 3 0%
#18101 thejumpcloud/tap/restart-rollouts 3 0%
#18102 kabel/php-ext/php@7.4-imap 3 0%
#18103 kujov/tap/git-profile-manager 3 0%
#18104 thejumpcloud/tap/kubectl-elevate 3 0%
#18105 mattfoxxx/personal/zeal 3 0%
#18106 homebrew/linux-fonts/font-inter-tight 3 0%
#18107 octoturt/repository/python-is-python3 3 0%
#18108 aaguirreo/esopipelines/esopipe-sinfo-recipes 3 0%
#18109 brianmouncer/icu4c/icu4c 3 0%
#18110 vitorgalvao/tiny-scripts/upload-file 3 0%
#18111 druagoon/icli/icli 3 0%
#18112 manojchandran/tap/odebo 3 0%
#18113 ladicle/tap/tcardgen 3 0%
#18114 songmu/tap/gh2changelog 3 0%
#18115 bjasspa/jasspa/microemacs-spelling-engb 3 0%
#18116 warrant-dev/warrant/warrant 3 0%
#18117 homebrew/linux-fonts/font-carlito 3 0%
#18118 nicholascross/promptly/promptly 3 0%
#18119 diffblue/cbmc/cbmc@6.4.1 3 0%
#18120 microcks/tap/microcks-cli 3 0%
#18121 lgarron/lgarron/chromium-bisect-builds 3 0%
#18122 o6uoq/gsts-4.1.0/gsts 3 0%
#18123 smartystreets/builds/satisfy 3 0%
#18124 hitochan777/tap/watashh 3 0%
#18125 qwerzl/taps/biber@2.19 3 0%
#18126 austek/tap/pcaudiolib 3 0%
#18127 homebrew/services/bind@9.18.26 3 0%
#18128 librasterlite 3 0%
#18129 colinshr/core4/coreutils 3 0%
#18130 homebrew/linux-fonts/font-gentium-basic 3 0%
#18131 sidaf/pentest/header_check 3 0%
#18132 gdanko/homebrew/enpass 3 0%
#18133 playmer/formulae/xcresultparser 3 0%
#18134 conductorone/baton/baton-retool 3 0%
#18135 buddy/bdy/bdy 3 0%
#18136 puleeno/openlitespeed/openlitespeed 3 0%
#18137 pythoncoderas/brew/jellyfin-rpc 3 0%
#18138 drfill/liquidsoap/libdssi 3 0%
#18139 rsvihladremio/tools/dremio-stress 3 0%
#18140 deadpool110/core/openjdk@21 3 0%
#18141 radiusnetworks/utils/proj 3 0%
#18142 aniketraj1947/tap/quick-mac 3 0%
#18143 bendingspoons/tap/wolf 3 0%
#18144 ceph 3 0%
#18145 lgarron/lgarron/timelapse 3 0%
#18146 maztheman/imc/imcommander 3 0%
#18147 sold 3 0%
#18148 turbot/tap/steampipe@0.23.0 3 0%
#18149 david0/audio/mverb-lv2 3 0%
#18150 szampier/pipelines/esopipe-kmos 3 0%
#18151 macvim-dev/macvim/macvim 3 0%
#18152 suzuki-shunsuke/ci-info/ci-info 3 0%
#18153 plantoncloud/tap/gitr 3 0%
#18154 starkandwayne/cf/shield 3 0%
#18155 torchsecurity/torch/torch 3 0%
#18156 papucci/hep/herwig 3 0%
#18157 eso/pipelines/esopipe-espda-demo 3 0%
#18158 optimizers/cutest/maros_meszaros 3 0%
#18159 dovetailworld/legacy/libxml2 3 0%
#18160 leoafarias/fvm/fvm@3.2.0 3 0%
#18161 docwhat/tap/chronic 3 0%
#18162 jkawamoto/fgo/fgo 3 0%
#18163 applicaster/tap/zappifest 3 0%
#18164 ypso-openjdk@17 3 0%
#18165 helics 3 0%
#18166 restcli/restcli/restcli 3 0%
#18167 proj-data-1.19 3 0%
#18168 leancodepl/arbsort/arbsort 3 0%
#18169 ldez/tap/motoko 3 0%
#18170 skyamgarp/puppet/ruby@2.7 3 0%
#18171 oven-sh/bun/bun@1.0.3 3 0%
#18172 birdcorp/bird-cli/birdcli 3 0%
#18173 aksarav/kubenodeusage/kubenodeusage 3 0%
#18174 netskopeplatformeng/pe/boomgate 3 0%
#18175 marc-shen/zathura/synctex 3 0%
#18176 mcwarman/tap/helm-to-hcl 3 0%
#18177 qmu/mcc/mcc 3 0%
#18178 smarter 3 0%
#18179 pkcrack 3 0%
#18180 oven-sh/bun/bun@1.0.13 3 0%
#18181 oven-sh/bun/bun@1.0.16 3 0%
#18182 mazzz1y/tap/mcli 3 0%
#18183 ensighten/formulae/gitflow-ens 3 0%
#18184 tuist/tuist/tuist@4.5.1 3 0%
#18185 enterprisedb/appl-cli/appl-cli 3 0%
#18186 twilio/brew/twilio@5.14.0 3 0%
#18187 liughgood/genhao-formulas/mars-clock 3 0%
#18188 hilli/tap/kefw2 3 0%
#18189 shinokada/abi/abi 3 0%
#18190 nostorg/nostr/nostr-commander-rs 3 0%
#18191 grapple-solution/tap/grapple-test-cli 3 0%
#18192 daite/collection/jrss 3 0%
#18193 malleefoul/etc/meld 3 0%
#18194 tuist/tuist/tuist@4.28.2 3 0%
#18195 oven-sh/bun/bun@1.0.32 3 0%
#18196 maksim-paskal/tap/k8s-images-cli 3 0%
#18197 shivangp76/zathura/zathura-cb 3 0%
#18198 obay/tap/azhubreader 3 0%
#18199 daviderestivo/emacs-head/emacs-head@27 3 0%
#18200 akatmks/vapoursynth-plugins/vapoursynth-lsmas 3 0%
#18201 chenrui333/tap/ansible 3 0%
#18202 hello-pd 3 0%
#18203 onmetal-dev/tap/onmetal 3 0%
#18204 usepolvo/usepolvo/usepolvo 3 0%
#18205 chenrui333/tap/awless 3 0%
#18206 mayniklas/personal/blahaj 3 0%
#18207 moritzgladigau/tap/minesweeper 3 0%
#18208 wvteijlingen/tap/xcodeformat 3 0%
#18209 dlr-ts/sumo/sumo@1.18.0 3 0%
#18210 torchiaf/tap/rancherx 3 0%
#18211 webispy/aln/aln 3 0%
#18212 sureshprasannavg/tap/fdk@9.1.1-beta 3 0%
#18213 draftbrew/tap/tree-sitter-languages 3 0%
#18214 ij-shared-indexes-tool-cli 3 0%
#18215 olofblomqvist/repo/odd-box 3 0%
#18216 libhelium-1 3 0%
#18217 agiliopadua/extras/libint1 3 0%
#18218 kkoralsky/jwt-cli/jwt-cli 3 0%
#18219 digitalspacestdio/nextgen-devenv/digitalspace-mysql@8.0 3 0%
#18220 oscaro/public/eq 3 0%
#18221 kevemueller/ksysroot/kyua 3 0%
#18222 eso/pipelines/esopipe-vimos 3 0%
#18223 domeengine/tap/dome 3 0%
#18224 chiissu/macchiato/sdl3_image-nightly 3 0%
#18225 homebrew/cask-versions/maven@3.8.1 3 0%
#18226 fujiwara/tap/iam-policy-finder 3 0%
#18227 pamburus/tap-test/goreleaser-test 3 0%
#18228 jjcfrancisco/popgis/popgis 3 0%
#18229 tnagatomi/tap/takolabel 3 0%
#18230 chenrui333/tap/playerctl 3 0%
#18231 dennis97519/lc3tools/lc3tools 3 0%
#18232 rcmdnk/rcmdnkpac/git-gpt-commit 3 0%
#18233 erice1031/notion-importer/notion-importer 3 0%
#18234 twilio/brew/twilio@2.36.1 3 0%
#18235 opensourceworks-org/yaxp-cli/yaxp-cli 3 0%
#18236 homebrew/linux-fonts/font-maple 3 0%
#18237 abdulsametileri/tap/gbvm 3 0%
#18238 ryanmoran/tools/faux 3 0%
#18239 manlao/tap/node-build-aliases 3 0%
#18240 gromgit/dev/umka 3 0%
#18241 robotastic/hackrf/gqrx 3 0%
#18242 graelo/tap/podfeed 3 0%
#18243 efa2d19/tap/httpserve 3 0%
#18244 sheinsight/shined/snm 3 0%
#18245 jrnl-org/prerelease/jrnl-beta 3 0%
#18246 tknr/tap/ext4magic 3 0%
#18247 handofgod94/tap/kubeconf 3 0%
#18248 chenrui333/tap/pdfsyntax 3 0%
#18249 mesca/luarocks/luarocks51 3 0%
#18250 branch-messenger/tools/branchctl 3 0%
#18251 hantmac/tap/fuckdb 3 0%
#18252 yugabyte/tap/yb-voyager@1.8.10 3 0%
#18253 homebrew/linux-fonts/font-ibm-plex-mono 3 0%
#18254 vexanium/vex/vex 3 0%
#18255 einstack/tap/glide 3 0%
#18256 sjorek/php/composer28-php84 3 0%
#18257 s00d/rocksdbserver/rocksdb_cli 3 0%
#18258 pthariensflame/assorted/vulkansc-pcutil 3 0%
#18259 linuxbrew/fonts/font-libertinus 3 0%
#18260 youyo/tap/awslogin 3 0%
#18261 astronomer/tap/astro@1.32.0 3 0%
#18262 chenrui333/tap/hcldump 3 0%
#18263 lucasloureiror/tools/aegis 3 0%
#18264 gbataille/gba/githud 3 0%
#18265 homebrew/linux-fonts/font-go 3 0%
#18266 kabel/pecl/php@8.1-imagick 3 0%
#18267 matronator/tap/amock 3 0%
#18268 fortio/tap/life 3 0%
#18269 cuotos/tap/aws-config-profiles 3 0%
#18270 leschekhomann/qemu_7.1.0/qemu 3 0%
#18271 ks 3 0%
#18272 clpo13/clpo13/wikiget 3 0%
#18273 brewsci/bio/fraggenescan 3 0%
#18274 oven-sh/bun/bun@1.0.14 3 0%
#18275 homebrew-zathura/zathura/zathura-djvu 3 0%
#18276 bucketplace/platform/mortar@1 3 0%
#18277 homebrew/linux-fonts/font-libertinus 3 0%
#18278 tchoupinax/brew/gb 3 0%
#18279 simplydanny/pass-extensions/pass-tail 3 0%
#18280 esilva-everbridge/generate-secure-pillar/generate-secure-pillar 3 0%
#18281 owo-network/brew/claude2openai 3 0%
#18282 heavywatal/tap/minimap2extra 3 0%
#18283 dpo/openblas/ipopt 3 0%
#18284 yad 3 0%
#18285 brewsci/science/hdf4 3 0%
#18286 tchoupinax/brew/kubernetes-labels-migrator 3 0%
#18287 sandstorm/tap/phook 3 0%
#18288 deweysasser/tap/k8sutils 3 0%
#18289 cajias/tools/v2a 3 0%
#18290 kabel/pecl/php@8.3-mongodb 3 0%
#18291 brad-x/custom/qemu-utm 3 0%
#18292 stek29/idevice/libplist 3 0%
#18293 chenrui333/tap/matcha 3 0%
#18294 songmu/tap/maltmill 3 0%
#18295 cxwx/cxbrew/c4go 3 0%
#18296 qownnotes/qc/qc 3 0%
#18297 libevdev 3 0%
#18298 jeromegn/tap/protoc-gen-crystal 3 0%
#18299 appcircleio/cli/tart 3 0%
#18300 heavywatal/tap/sdust 3 0%
#18301 ybott/libfreenect2/libfreenect2 3 0%
#18302 xlabs/hashicorp-tap/terraform@1.8.5 3 0%
#18303 dirien/dirien/minectl 3 0%
#18304 kitlangton/zio-app/zio-app 3 0%
#18305 chenrui333/tap/hauler 3 0%
#18306 oarrabi/tap/mockpy 3 0%
#18307 joakimen/tap/gg 3 0%
#18308 ianlevesque/tmsu/tmsu 3 0%
#18309 synchronal/tap/medic-ext-postgres 3 0%
#18310 pantuza/jsoncat/jsoncat 3 0%
#18311 quackduck/tap/rem 3 0%
#18312 chenrui333/tap/knip 3 0%
#18313 kyua 3 0%
#18314 yugabyte/tap/yb-voyager@1.8.9.1 3 0%
#18315 tifrueh/mytap/jdfs 3 0%
#18316 mingrammer/casec/casec 3 0%
#18317 jzntam/jane_bourbon/pdftk 3 0%
#18318 rene-dev/sigrok/libserialport 3 0%
#18319 fabernovel/formulae/xcresource 3 0%
#18320 kvantum 3 0%
#18321 gibsjose/crypto/bcrypt-hash 3 0%
#18322 theeternalsw0rd/telnet/telnet 3 0%
#18323 fairwindsops/tap/gonogo 3 0%
#18324 himkt/tap/tips-cli 3 0%
#18325 ska-sa/tap/casacore-data 3 0%
#18326 willswire/tap/tokenz 3 0%
#18327 brewsci/science/gfan 3 0%
#18328 ory/oathkeeper/oathkeeper 3 0%
#18329 mmsrip 3 0%
#18330 followtheprocess/tap/tag 3 0%
#18331 dream11/tools/odin@1.4.3 3 0%
#18332 0f-0b/mkxp/rgss-script-editor 3 0%
#18333 antigmalabs/tap/ante 3 0%
#18334 itgcloud/tap/gobackup 3 0%
#18335 hlrs-vis/tap/cal3d 3 0%
#18336 defenseunicorns/tap/uds@0.9.3 3 0%
#18337 canac/tap/portman 3 0%
#18338 shivammathur/extensions/psr@7.4 3 0%
#18339 gepetto/gepetto/eigenpy 3 0%
#18340 bramstein/webfonttools/sfntly 3 0%
#18341 steffakasid/wiper/wiper 3 0%
#18342 godotenv 3 0%
#18343 homebrew/linux-fonts/font-atkinson-hyperlegible 3 0%
#18344 chiissu/macchiato/jetzig 3 0%
#18345 saibit-tech/tap/ogc-cli@0.0.3 3 0%
#18346 infisical/get-cli/infisical@0.16.10 3 0%
#18347 rrotter/useful/sq 3 0%
#18348 astronomer/tap/astro@1.26.0 3 0%
#18349 rolemadelen/pomosh/pomosh 3 0%
#18350 maciejzj/ssb/ssb 3 0%
#18351 modern-magic/tap/grm 3 0%
#18352 gmerlino/edid-decode/edid-decode 3 0%
#18353 salilab/salilab/mdt 3 0%
#18354 smillerdev/tap/php-raphf@8.3 3 0%
#18355 moonrepo/tap/moon 3 0%
#18356 noe-p/optimize/optimize 3 0%
#18357 yarr 3 0%
#18358 brewsci/bio/exabayes 3 0%
#18359 wl-clipboard-rs 3 0%
#18360 octave-app/octave-app-bases/lxqt-build-tools 3 0%
#18361 smillerdev/tap/php-http@8.3 3 0%
#18362 meap/runecs/runecs 3 0%
#18363 termtunnel 3 0%
#18364 chrishannah/pst/pst 3 0%
#18365 tshakalekholoane/taps/can 3 0%
#18366 gajirou/fexec/fexec 3 0%
#18367 brewsci/bio/phlawd 3 0%
#18368 deweysasser/tap/eks-kubeconfig-update 3 0%
#18369 kevemueller/ksysroot/ksysroot_x86_64-freebsd13@13.4-freebsd-stable 3 0%
#18370 jacobfg/awscreds/aws-sso-cli-profile 3 0%
#18371 eblot/devtools/gtkwave 3 0%
#18372 duffn/mkpw/mkpw 3 0%
#18373 dbt-labs/dbt/dbt-snowflake@1.3.0 3 0%
#18374 gnostr-org/gnostr-org/gnostrd 3 0%
#18375 lucydodo/tap/sqlb-qt@5 3 0%
#18376 taylormonacelli/tools/fogcrow 3 0%
#18377 yuygfgg/vapoursynth/python-vapoursynth 3 0%
#18378 bl4cc4t/vsplugins/vsp-ffms2 3 0%
#18379 knewstuff 3 0%
#18380 ivanperez-keera/core/ikos 3 0%
#18381 tomsdoo/brew/md-book 3 0%
#18382 petere/postgresql/postgresql@8.3 3 0%
#18383 bazelbuild/tap/bazel@4.2.1 3 0%
#18384 aconchillo/guile/guile-bytestructures 3 0%
#18385 afiller/pathmate/git-lfs@3.1.2 3 0%
#18386 afiller/pathmate/imagemagick@7.1.0-28 3 0%
#18387 kkyr/tap/shellcheck-gpt 3 0%
#18388 defenseunicorns/tap/zarf@0.32.6 3 0%
#18389 afiller/pathmate/jq@1.6 3 0%
#18390 snowflakedb/cloudeng/yetis 3 0%
#18391 nmdp-bioinformatics/tap/py-ard@1.5 3 0%
#18392 acbetter/tap/matlab2dash 3 0%
#18393 yugabyte/tap/yb-voyager@1.7.1 3 0%
#18394 infisical/get-cli/infisical@0.34.2 3 0%
#18395 wayoung7/firework-rs/firework-rs 3 0%
#18396 joergschiller/csv2xls/csv2xls 3 0%
#18397 robotlocomotion/director/libbot2 3 0%
#18398 libxisf 3 0%
#18399 syhily/lor/lor 3 0%
#18400 ruoyutest2021/portable-ruby-aarch64-linux/portable-libedit 3 0%
#18401 dima424658/clang/suscp 3 0%
#18402 claire-ac/claire/claire 3 0%
#18403 wang-q/tap/dazz_db 3 0%
#18404 cycloud-io/tap/cycloud-cli 3 0%
#18405 schemamap/tap/schemamap 3 0%
#18406 screenshotbot/repo/screenshotbot-recorder 3 0%
#18407 rancher 3 0%
#18408 k1low/tap/tbls-driver-tailordb 3 0%
#18409 tccli-intl-en 3 0%
#18410 ensembl/external/trf 3 0%
#18411 dbt-labs/dbt/dbt@0.19.2 3 0%
#18412 chenrui333/tap/ezkl 3 0%
#18413 oven-sh/bun/bun@1.1.14 3 0%
#18414 k1low/tap/gh-grep 3 0%
#18415 replicatedhq/replicated/kots 3 0%
#18416 mlx-c 3 0%
#18417 svoop/postgresql/postgis@16 3 0%
#18418 kubernetes-cli@1.22 3 0%
#18419 logfire-ai/tap/logfire 3 0%
#18420 saulpw/vd/visidata27 3 0%
#18421 nwtgck/yamux-cli/yamux 3 0%
#18422 elastic/geneve/geneve 3 0%
#18423 dvcrn/formulas/wework 3 0%
#18424 yugabyte/tap/yb-voyager@1.8.13 3 0%
#18425 cxwx/cxbrew/coost 3 0%
#18426 muterlocal 3 0%
#18427 wrynegade/brew/scwrypts@4 3 0%
#18428 nkouevda/nkouevda/pdiff 3 0%
#18429 omerxx/tools/blocksite 3 0%
#18430 zcong1993/tap/leetcode-tool 3 0%
#18431 eugenetriguba/bolt/bolt 3 0%
#18432 hotstar/hsbuilders/hsbuildercli 3 0%
#18433 dapr/tap/dapr-cli@1.10.0-rc.6 3 0%
#18434 yannick-cw/tap/notion-ocr 3 0%
#18435 gi0baro/tap/poetry-bin 3 0%
#18436 chenrui333/tap/aoc-cli 3 0%
#18437 frosit/mysql/mysql@8.1 3 0%
#18438 neved4/tap/twc 3 0%
#18439 mac-storage-manager 3 0%
#18440 shivammathur/extensions/protobuf@5.6 3 0%
#18441 antnsn/bastionbuddy/bastionbuddy 3 0%
#18442 yugabyte/yugabyte/yugabytedb 3 0%
#18443 brunoluiz/tap/crossplane-explorer 3 0%
#18444 chenrui333/tap/pyment 3 0%
#18445 pmqueiroz/tap/import-holmes 3 0%
#18446 andy-zhou/cross-compiler/i686-elf-binutils 3 0%
#18447 tditlu/amiga/vlink 3 0%
#18448 roukara/cliplog/cliplog 3 0%
#18449 mashiike/tap/actionspin 3 0%
#18450 sidneys/homebrew/youtube-dl 3 0%
#18451 pete911/tap/kubectl-iam4sa 3 0%
#18452 coopslarhette/formula/gcli 3 0%
#18453 jhunt/hacks/errno 3 0%
#18454 macrocosm-os/platform/tools 3 0%
#18455 chenrui333/tap/soft-serve 3 0%
#18456 brewsci/science/ea-utils 3 0%
#18457 gusdg2/oss-fpga/project-trellis 3 0%
#18458 homebrew/linux-fonts/font-roboto 3 0%
#18459 brewsci/bio/hssp 3 0%
#18460 mittelmark/mittelmark/microemacs-mew 3 0%
#18461 manefunction/tap/romlm 3 0%
#18462 nicerloop/nicerloop/arx 3 0%
#18463 nicerloop/nicerloop/acloudguru-sandbox 3 0%
#18464 ruoyutest2021/portable-ruby-aarch64-linux/portable-zlib 3 0%
#18465 homebrew/cask/kubernetes-cli@1.27 3 0%
#18466 brad-x/custom/qemu-utm@9 3 0%
#18467 ruoyutest2021/portable-ruby-aarch64-linux/portable-ruby 3 0%
#18468 spotify/spotify/helios 3 0%
#18469 i-am-bee/beeai/otelcol-contrib 3 0%
#18470 yoshitakamo/bio2/edirect 3 0%
#18471 astronomer/tap/astro@1.29.0 3 0%
#18472 virzz/virzz/enyo 3 0%
#18473 twilio-internal/tap/bundlectl-extras 3 0%
#18474 dutchcoders/cloudman/cloudman 3 0%
#18475 fairrootgroup/fairsoft/dds 3 0%
#18476 dangh/formulae/dark 3 0%
#18477 applegamer22/tap/cocainate 3 0%
#18478 ruoyutest2021/portable-ruby-aarch64-linux/portable-openssl 3 0%
#18479 upsidr/tap/importer 3 0%
#18480 denji/nginx/ngx-devel-kit 3 0%
#18481 szpadel/raccoon/ocaml@4.12.0 3 0%
#18482 dlr-ts/sumo/sumo@1.5.0 3 0%
#18483 bigbinary/tap/ncci 3 0%
#18484 dropsite-ai/tap/config 3 0%
#18485 xavidop/tap/voiceflow 3 0%
#18486 eleanordoesntcode/valgrind/valgrind 3 0%
#18487 brewsci/science/mtl 3 0%
#18488 viggo-gascou/tap/kat-rs 3 0%
#18489 stacc/stacc-cli/blocc 3 0%
#18490 marc-shen/zathura/zathura-pdf-mupdf 3 0%
#18491 gerritcodereview/gerrit/gerrit 3 0%
#18492 linuxbrew/fonts/font-fantasque-sans-mono 3 0%
#18493 ruoyutest2021/portable-ruby-aarch64-linux/portable-libxcrypt 3 0%
#18494 ruoyutest2021/portable-ruby-aarch64-linux/portable-libffi 3 0%
#18495 ruoyutest2021/portable-ruby-aarch64-linux/portable-libyaml 3 0%
#18496 brewsci/bio/samblaster 3 0%
#18497 hi-rustin/tokio-console-web/tokio-console-web 3 0%
#18498 takesako/sigrok/libsigrokdecode 3 0%
#18499 redis-field-engineering/tap/smart-cache-cli 3 0%
#18500 celest-dev/celest/celest@0.4.6 3 0%
#18501 tdeebswihart/tap/docker-mac-net-connect 3 0%
#18502 shivammathur/extensions/zmq@8.0 3 0%
#18503 stegano 3 0%
#18504 uhg-internal/usp/hgcr 3 0%
#18505 yuvals1/personal/lazysql 3 0%
#18506 antswordproject/antsword/antsword 3 0%
#18507 brewsci/science/paraview 3 0%
#18508 ludovicianul/tap/sol 3 0%
#18509 jcolson/taps/maven-noopenjdk 3 0%
#18510 alephao/formulae/nftool 3 0%
#18511 dersimn/craft/pango 3 0%
#18512 janosmiko/tap/hetzner-k3s 3 0%
#18513 sidaf/pentest/serializekiller 3 0%
#18514 drogonframework/drogon/drogon 3 0%
#18515 danielbair/tap/festival 3 0%
#18516 homebrew/linux-fonts/font-lxgw-heartserif 3 0%
#18517 homebrew/linux-fonts/font-bitstream-vera 3 0%
#18518 charankumarsarlani/tap/mytool 3 0%
#18519 abap34/almo/almo 3 0%
#18520 mroth/tap/ramdisk 3 0%
#18521 eso/pipelines/wcslib@7.12 3 0%
#18522 travisvn/gptree/gptree 3 0%
#18523 mosra/magnum/magnum-bindings 3 0%
#18524 hloughrey/latitude55/latitude55-cli 3 0%
#18525 jklaiber/tap/jumper 3 0%
#18526 devdimensionlab/plybuild/plybuild 3 0%
#18527 kevemueller/ksysroot/ksysroot_x86_64-freebsd13@13.3-freebsd-release 3 0%
#18528 dosbox 3 0%
#18529 sanriodev/goji/goji 3 0%
#18530 andresv/gnuradio/dump1090 3 0%
#18531 nshipster/formulae/swift-syntax-highlight 3 0%
#18532 webispy/haha/aln 3 0%
#18533 homebrew/linux-fonts/font-victor-mono 3 0%
#18534 rawnly/worktools/tpaws 3 0%
#18535 cipherdevnl/tap/php@7.4 3 0%
#18536 arpit-curve/toggle-history/toggle-history 3 0%
#18537 gabor-boros/brew/minutes 3 0%
#18538 sqitchers/sqitch/sqitch_pg 3 0%
#18539 smillerdev/tap/php-uopz@8.3 3 0%
#18540 arthurpalves/formulae/badgy 3 0%
#18541 fioncat/apps/otree 3 0%
#18542 potato-diet/tweego/tweego 3 0%
#18543 coot 3 0%
#18544 gesquive/tap/git-user 3 0%
#18545 cxwx/cxbrew/wavelib 3 0%
#18546 hasher 3 0%
#18547 timescam/tap/peaclock 3 0%
#18548 david0/audio/ardour5 3 0%
#18549 sidaf/pentest/iker 3 0%
#18550 cxwx/cxbrew/flowchar 3 0%
#18551 evnp/loading.sh/loading.sh 3 0%
#18552 abroudoux/tap/commit 3 0%
#18553 fairrootgroup/fairsoft/fairsoft@22.11 3 0%
#18554 szampier/pipelines/esopipe-spher 3 0%
#18555 kanchishimono/tap/mousequake 3 0%
#18556 ohsu-comp-bio/formula/funnel@0.11.1 3 0%
#18557 versun/tap/aicmt 3 0%
#18558 masaushi/tap/accessory 3 0%
#18559 szampier/pipelines/esopipe-espda-recipes 3 0%
#18560 rcmdnk/rcmdnkpac/chatgpt-prompt-wrapper 3 0%
#18561 szampier/pipelines/esopipe-visir-recipes 3 0%
#18562 tv2/devex-tools/pixl 3 0%
#18563 dfstudio/dfstudio/vips-tool 3 0%
#18564 ericwq/utils/aprilsh 3 0%
#18565 retropex/knots/knots 3 0%
#18566 keys-pub/tap/libfido2 3 0%
#18567 clojure/tools/clojure@1.11.2.1446 3 0%
#18568 luabind 3 0%
#18569 justinrsmith/local-weather-cli-go/local-weather 3 0%
#18570 parcollet/ccq/clair 3 0%
#18571 chasepants/chasepants/css-analyzer 3 0%
#18572 apptio-pne/tools/kingpin-cli 3 0%
#18573 sidneys/homebrew/cdrkit 3 0%
#18574 homebrew/linux-fonts/font-biz-udpgothic 3 0%
#18575 the-exile-110/ec2cat/ec2cat 3 0%
#18576 interlynk-io/interlynk/sbommv 3 0%
#18577 manelatun/bottles/lzip 3 0%
#18578 docker-pile/pile-cli/pile-cli 3 0%
#18579 shivammathur/extensions/sqlsrv@8.5 3 0%
#18580 vostok-space/oberon/vostok 3 0%
#18581 dmgilimovich/ffmpeg/ffmpeg 3 0%
#18582 dlr-ts/sumo/sumo@1.1.0 3 0%
#18583 brewsci/bio/sortmerna 3 0%
#18584 meunierd/homebrew/amitools 3 0%
#18585 bilal-bhatti/taps/zipline 3 0%
#18586 linuxbrew/fonts/font-fontawesome 3 0%
#18587 shivammathur/extensions/sqlsrv@7.1 3 0%
#18588 plutovg 3 0%
#18589 qemu_spice 3 0%
#18590 digitalspacestdio/php/php73-imagick 3 0%
#18591 digitalspacestdio/php/php72-imagick 3 0%
#18592 oven-sh/bun/bun@1.2.5 3 0%
#18593 shellrow/tap-ntap/ntap 3 0%
#18594 nertzy/pivotal-legacy-tap/git-together 3 0%
#18595 usearch12 3 0%
#18596 meowlgmee/macos-cross-toolchains/aarch64-linux-gnu@7.5.0-glibc2.27 3 0%
#18597 homebrew/linux-fonts/font-0xproto 3 0%
#18598 lgarron/lgarron/rmtag 3 0%
#18599 homebrew/linux-fonts/font-lilex 3 0%
#18600 chenrui333/tap/azure-pipelines-language-server 3 0%
#18601 josephburgess/tools/gust 3 0%
#18602 robotjie/tap/mdns-reflector-go 3 0%
#18603 contensis/cli/contensis-cli 3 0%
#18604 herbertgao/ffmpeg/ffmpeg 3 0%
#18605 someengineering/tap/fixctl 3 0%
#18606 wrynegade/brew/scwrypts@4.3 3 0%
#18607 farcloser/brews/ssh-agent 3 0%
#18608 vvrnv/tap/gossl 3 0%
#18609 kqito/tap/grepath 3 0%
#18610 coolcoder54323/bashmusic/bashplay 3 0%
#18611 onboardbase/obbctl/obbctl 3 0%
#18612 bueti/tap/bocker 3 0%
#18613 iamamused/tools-akimbo/tools-akimbo 3 0%
#18614 lucasepe/cli-tools/dazed 3 0%
#18615 ticky/utilities/gcn64tools 3 0%
#18616 silphid/tap/testchart 3 0%
#18617 talkdesk/talkdesk/td-cli 3 0%
#18618 pinatacloud/ipfs-cli/ipfs-cli 3 0%
#18619 digitalspacestdio/common/bison@2.7.1 3 0%
#18620 spluta/ness_stretch/ness_stretch 3 0%
#18621 octave-app/octave-app/python2 3 0%
#18622 samgqroberts/tap/merchant 3 0%
#18623 mediaarea/mediaarea/movmetaedit 3 0%
#18624 zalt50/core/ghostscript 3 0%
#18625 homeport/tap/yft 3 0%
#18626 octave-app/octave-app/proj@5 3 0%
#18627 sentrypeer/sentrypeer/sentrypeer 3 0%
#18628 tamada/tap/gibo-wrapper 3 0%
#18629 shivammathur/extensions/couchbase@7.3 3 0%
#18630 ndfsa/tap/neovim-nightly 3 0%
#18631 leoafarias/fvm/fvm@3.1.6 3 0%
#18632 szampier/pipelines/esopipe-muse 3 0%
#18633 petsc@3.21 3 0%
#18634 zored/brew/icu4c73 3 0%
#18635 linuxbrew/fonts/font-jetbrains-mono 3 0%
#18636 echovault/echovault/echovault 3 0%
#18637 jonhadfield/ipscout/ipscout 3 0%
#18638 fastly/tap/waflyctl 3 0%
#18639 macaulay2/tap/memtailor 3 0%
#18640 alexcaza/weztermocil/weztermocil 3 0%
#18641 pbctl 3 0%
#18642 padok-team/tap/playground 3 0%
#18643 manelatun/bottles/gnu-tar 3 0%
#18644 epidemicsound/epidemicsound/protoc-gen-connect-go 3 0%
#18645 sidekickmoney/skm/node@18 3 0%
#18646 vvvvvv 3 0%
#18647 fargatecli 3 0%
#18648 dekker1/minizinc/jacop 3 0%
#18649 honmaple/tap/snow 3 0%
#18650 brewsci/bio/tantan 3 0%
#18651 winebarrel/ssocreds/ssocreds 3 0%
#18652 uniconvertor 3 0%
#18653 brewsci/bio/boost-python3@1.87 3 0%
#18654 azure/functions/azure-functions-core-tools-v3-preview 3 0%
#18655 shivammathur/extensions/couchbase@7.1 3 0%
#18656 brewsci/science/pathd8 3 0%
#18657 terakilobyte/tools/onboarder 3 0%
#18658 khan/repo/python@2 3 0%
#18659 shibme/lab/randgen 3 0%
#18660 eclipse-zenoh/zenoh/zenoh-plugin-remote-api 3 0%
#18661 gatlingx/hackbot/hackbot 3 0%
#18662 lmika/audax/audax 3 0%
#18663 netcheck 3 0%
#18664 reddec/tap/layout 3 0%
#18665 joinself/tap/self-sdk@0.31.0 3 0%
#18666 dbt-labs/dbt/dbt-snowflake@1.0.1 3 0%
#18667 i-want-tobelieve/formulae/yakite-toast 3 0%
#18668 chef/okta_aws/okta_aws 3 0%
#18669 homebrew/cask/boost@1.79 3 0%
#18670 gnome-common 3 0%
#18671 kjagiello/wifi/wifi 3 0%
#18672 ampersandhq/php/amp-php@7.3 3 0%
#18673 andreygrechin/tap/gosemver 3 0%
#18674 jensim/bitbucket_server_cli/bitbucket_server_cli 3 0%
#18675 lablgtk 3 0%
#18676 jawshooah/pyenv/pyenv-update 3 0%
#18677 shivammathur/extensions/yaml@5.6 3 0%
#18678 rosco-m68k/toolchain/gcc-cross-m68k@10 3 0%
#18679 ttskch/composer/composer@1.0.0-alpha1 3 0%
#18680 z80oolong/tmux/tmux@2.8 3 0%
#18681 z80oolong/tmux/tmux@2.7 3 0%
#18682 nodenv/nodenv/nodenv-each 3 0%
#18683 si3nloong/tools/helm-restore 3 0%
#18684 keinos/hello-cobra/hello-cobra 3 0%
#18685 chanzuckerberg/tap/blessclient 3 0%
#18686 bitshares/boost/boost@1.69 3 0%
#18687 saschaholesch/ntsc/xcclean 3 0%
#18688 ovrclk/tap/akash-provider-services 3 0%
#18689 mpaw/arm-none-eabi/gcc-arm-none-eabi 3 0%
#18690 go-vela/vela/vela@0.24 3 0%
#18691 px4/px4/fastrtps15 3 0%
#18692 kartverket/taps/skipctl 3 0%
#18693 banno/tap/postgres-connect 3 0%
#18694 sidaf/pentest/crunch 3 0%
#18695 kevemueller/ksysroot/ksysroot_powerpc64le-linux6.12-musl@99edge-alpine 3 0%
#18696 brewsci/bio/sdsl-lite 3 0%
#18697 anhoder/musicfox/musicfox 3 0%
#18698 meissnem/tap/hc-elasticsearch 3 0%
#18699 io41/tap/opencommit 3 0%
#18700 frosit/mysql/mysql@8.2 3 0%
#18701 cyanghxst/tmc-cli/tmc-cli 3 0%
#18702 chenrui333/tap/mdbook-linkcheck 3 0%
#18703 genevieve/tap/leftovers 3 0%
#18704 llvm@8 3 0%
#18705 spl/pdftk/pdftk 3 0%
#18706 brewsci/bio/gfaview 3 0%
#18707 halmoni100/chong/ca-client 3 0%
#18708 drone/drone/drone@1.7.0 3 0%
#18709 bear10591/tap/mkvtoolnix 3 0%
#18710 joakimen/tap/clone 3 0%
#18711 totakke/tap/bosslint 3 0%
#18712 dvaumoron/tap/lastgo 3 0%
#18713 aconchillo/guile/metabash 3 0%
#18714 sikalabs/tap/slr 3 0%
#18715 jiritrecak/laurine/laurine 3 0%
#18716 jeroenzeelmaekers/tap/simls 3 0%
#18717 p1x3l101-10/personal/link-brew-apps 3 0%
#18718 px4/px4/gcc-arm-none-eabi-63 3 0%
#18719 dep 3 0%
#18720 homebrew/linux-fonts/font-bitter-ht 3 0%
#18721 eastl 3 0%
#18722 szampier/pipelines/esopipe-nirps-recipes 3 0%
#18723 dvcrn/formulas/chainenv 3 0%
#18724 brewsci/bio/wish 3 0%
#18725 cxwx/cxbrew/ginkgo 3 0%
#18726 pay-cli 3 0%
#18727 orbitalfetch/orbitalfetch/lancelot 3 0%
#18728 andersonfds/freitunit/freitunit 3 0%
#18729 dbt-labs/dbt/dbt-bigquery@1.5.3 3 0%
#18730 eugendueck/tap/colorexp 3 0%
#18731 anna-ssg/anna/anna 3 0%
#18732 pihao/tap/himawari8 3 0%
#18733 ebraminio/apps/persian-calendar 3 0%
#18734 buddytv/tools/loki-core 3 0%
#18735 factorial-io/phabalicious/phab 3 0%
#18736 ghdwlsgur/gossl/gossl 3 0%
#18737 daite/collection/download_video 3 0%
#18738 homebrew/linux-fonts/font-advent-pro 3 0%
#18739 kwabenantim/chaste/chaste-dependencies 3 0%
#18740 wickles/tap/macports 3 0%
#18741 fuse-emulator 3 0%
#18742 studiomax/elastic-linux/elasticsearch-full 3 0%
#18743 gabe565/tap/domain-watch 3 0%
#18744 shivammathur/extensions/ds@8.5 3 0%
#18745 ktilted/ofbfcompiler/ofbfcompiler 3 0%
#18746 chiissu/macchiato/notabena 3 0%
#18747 fleetctl 3 0%
#18748 benparker-dev/mysql-tap/mysql@5.7 3 0%
#18749 sjorek/php/composer28-php73 3 0%
#18750 boyanxu/brew/gitpod-cli 3 0%
#18751 jftuga/tap/fstat 3 0%
#18752 visidata/vd/visidata 3 0%
#18753 sirmspencer/tap/do 3 0%
#18754 infisical/get-cli/infisical@0.23.3 3 0%
#18755 josh/tap/tmtheme-rose-pine 3 0%
#18756 plantoncloud/tap/planton-cli 3 0%
#18757 fubarhouse/pygmy-go/pygmy 3 0%
#18758 sjorek/php/composer27-php81 3 0%
#18759 shivammathur/extensions/zmq@8.5 3 0%
#18760 hamsurang/0g/zerog 3 0%
#18761 vvrnv/tap/kctxsync 3 0%
#18762 n-hass/tools/raph 3 0%
#18763 365smart/python2/python@2.7.17 3 0%
#18764 sidaf/pentest/scrape_dns 3 0%
#18765 diffblue/cbmc/cbmc@6.4.0 3 0%
#18766 abyss/tools/tfws 3 0%
#18767 gam 3 0%
#18768 nncp 3 0%
#18769 infiniteregrets/wrench/wrench 3 0%
#18770 deus0ww/tap/jpeg-xl 3 0%
#18771 vc-ccs-code/tap/pc 3 0%
#18772 iotexproject/ioctl-unstable/ioctl-unstable 3 0%
#18773 adriangalilea/ip/ip 3 0%
#18774 selefra/tap/selefra 3 0%
#18775 nicerloop/nicerloop/xcodes 3 0%
#18776 trafshow 3 0%
#18777 bazelbuild/tap/bazel@6 3 0%
#18778 manelatun/catalina/nettle 3 0%
#18779 leoafarias/fvm/fvm@3.1.0 3 0%
#18780 dpo/bogus/archdefs 3 0%
#18781 dk/libthai/libthai 3 0%
#18782 sportngin/homebrew/percona-server57 3 0%
#18783 bear10591/tap/ffmpeg 3 0%
#18784 xoolive/homebrew/decode1090 3 0%
#18785 yarn-bump 3 0%
#18786 protoconf/tap/protoconf 3 0%
#18787 prql/prql/prqlc 3 0%
#18788 nostorg/nostr/ots-fiatjaf 3 0%
#18789 chenrui333/tap/vitepress 3 0%
#18790 redpeacock78/tools/w3m-sixel 3 0%
#18791 rawnly/worktools/worktree-manager 3 0%
#18792 authzed/tap/spicedb@1.27.0 3 0%
#18793 tomahawk-player/tomahawkqt5/extracmakemodules 3 0%
#18794 natthphong/tar_pain/tar_paint 3 0%
#18795 powergridmodel/pgm/power-grid-model 3 0%
#18796 caffeine-addictt/tap/waku 3 0%
#18797 chrispruitt/tap/ecs 3 0%
#18798 homebrew/linux-fonts/font-josefin-sans 3 0%
#18799 x71c9/x71c9/tempesta 3 0%
#18800 eaceto/tools/xcspec 3 0%
#18801 avidia-cohort/maytri/maytri 3 0%
#18802 typesense/tap/typesense-server@0.25.0 3 0%
#18803 mycoin/nginx/nginx-full 3 0%
#18804 arionbat/soft/pandoc@3.1.9 3 0%
#18805 nstanke/zim/zim-wiki 3 0%
#18806 paritytech/paritytech/parity 3 0%
#18807 kamackay/tap/all 3 0%
#18808 afiller/pathmate/yarn@1.22.18 3 0%
#18809 lifepillar/appleii/diskm8 3 0%
#18810 eyevinn/tools/whip-mpegts 3 0%
#18811 skynet-core/skynet/pocl@6.1 3 0%
#18812 chenrui333/tap/bacon-ls 3 0%
#18813 oculus-core/gogo/gogo@0.1 3 0%
#18814 usemotion/public/temporal 3 0%
#18815 heavywatal/tap/tumopp 3 0%
#18816 rohan-mehta/spr-tap/spr 3 0%
#18817 homebrew/linux-fonts/font-intel-one-mono 3 0%
#18818 boerworz/tap/xcparse 3 0%
#18819 archetect/tap/archetect 3 0%
#18820 carlosqsilva/brew/vqrcode 3 0%
#18821 doprz/rs-cube/rs-cube 3 0%
#18822 ffmpeg-iina 3 0%
#18823 bonnou-shounen/tap/bakusai 3 0%
#18824 prantlf/tap/saz-tools 3 0%
#18825 serpentiel/tools/betterglobekey 3 0%
#18826 ownia/ownia/emailconverter 3 0%
#18827 jez/formulae/calz 3 0%
#18828 maciejwalkowiak/tap/yolo 3 0%
#18829 keyboard-clacker/brews/itermocil 3 0%
#18830 xata 3 0%
#18831 tmp 3 0%
#18832 manelatun/catalina/libevent 3 0%
#18833 iaeieue/test/aria2 3 0%
#18834 userosettadev/rosetta/rosetta 3 0%
#18835 amiaopensource/amiaos/deckcontrol 3 0%
#18836 paulhammond/tap/jp 3 0%
#18837 paulgalow/tap/albumart-dl 3 0%
#18838 indoorvivants/tap/sn-bindgen 3 0%
#18839 heavywatal/tap/cnefinder 3 0%
#18840 krzko/tap/oteldemo 3 0%
#18841 neved4/tap/hyperspec 3 0%
#18842 ilyan-fisherman/testinette/testinette 3 0%
#18843 brewsci/bio/mummer@3 3 0%
#18844 alire 3 0%
#18845 jakewharton/repo/adb-event-mirror 3 0%
#18846 qt662 3 0%
#18847 accelira/accelira/accelira 3 0%
#18848 soucolline/cuckoo/cuckoonator 3 0%
#18849 skar 3 0%
#18850 homebrew/linux-fonts/font-iosevka-ss02 3 0%
#18851 lgarron/lgarron/civ6-auto-resolution 3 0%
#18852 someengineering/tap/cloud2sql 3 0%
#18853 royxiang/tap/mosdns 3 0%
#18854 mercurytechnologies/tap/postgresql@16 3 0%
#18855 somoscuatro/wp-project-scaffold/wp-project-scaffold 3 0%
#18856 anypay/walletbot/walletbot 3 0%
#18857 artprocessors/tap/gazelle 3 0%
#18858 artsy/formulas/hokusai-beta 3 0%
#18859 gtk-radio 3 0%
#18860 eso/pipelines/esopipe-amber 3 0%
#18861 manelatun/bottles/perl 3 0%
#18862 tuist/tuist/tuist@3.36.5 3 0%
#18863 josegonzalez/repo/sm 3 0%
#18864 vtable-dumper 3 0%
#18865 jb-cisco/hsdemo/hsdemo 3 0%
#18866 ownia/ownia/fig2ps 3 0%
#18867 yoshitakamo/bio2/antismash 3 0%
#18868 iselect 3 0%
#18869 stek29/idevice/partialzipbrowser 3 0%
#18870 pete911/tap/flowlogs 3 0%
#18871 shopify/private/kubectl-pi 3 0%
#18872 backbase/m/variants@1.1.1 3 0%
#18873 stiviik/tap/azctx 3 0%
#18874 shivammathur/extensions/ssh2@7.1 3 0%
#18875 cxwx/cxbrew/fast-cpp-csv-parser 3 0%
#18876 cqlabs/dcm/dcm@1.19.1 3 0%
#18877 gopatchy/bkl/bkl 3 0%
#18878 rustyrazorblade/rustyrazorblade/easy-cass-stress 3 0%
#18879 homebrew/linux-fonts/font-harano-aji 3 0%
#18880 felicianotech/tap/sonar 3 0%
#18881 solaoi/tap/colc 3 0%
#18882 lukekras/simplecli/simplecli 3 0%
#18883 gerlero/openfoam/scotch-no-pthread 3 0%
#18884 ericuldall/opctl/opctl 3 0%
#18885 oleg-st/devsync/devsync6 3 0%
#18886 bramstein/webfonttools/woff2 3 0%
#18887 harbor-xyz/harbor/harbor 3 0%
#18888 zeroc-ice/tap/php-ice 3 0%
#18889 hinterland-software/openv/openv 3 0%
#18890 env-setup 3 0%
#18891 tshabatyn/warden/warden 3 0%
#18892 textualize/homebrew/see 3 0%
#18893 nicholasdille/tap/patat-bin 3 0%
#18894 guiguem/tap/fasterac 3 0%
#18895 allen-ball/tap/dovecot@2.3 3 0%
#18896 avce00 3 0%
#18897 al-the-x/mine/shiny-and-gnu 3 0%
#18898 prongbang/formulae/herpy 3 0%
#18899 brewsci/bio/vmatch 3 0%
#18900 homebrew/linux-fonts/font-source-sans-3 3 0%
#18901 homebrew/cask-versions/elixir@1.10.2 3 0%
#18902 skynet-core/skynet/openmp@19 3 0%
#18903 dpogue/plasma-deps/physx 3 0%
#18904 aromarious/tap/clear-notifications 3 0%
#18905 atko-pam/asa/pamctl 3 0%
#18906 alpha-baby/tap/g 3 0%
#18907 bpineau/tap/katafygio 3 0%
#18908 magicbell-io/magicbell/magicbell-cli 3 0%
#18909 osrf/simulation/player 3 0%
#18910 keyvaluesoftwaresystems/tools/gsql-proxy 3 0%
#18911 cockroachdb/cockroach/cockroach 3 0%
#18912 mavryk-network/mavryk-packaging-rc/mavryk-client 3 0%
#18913 avoidik/qemu-spice/spice-server 3 0%
#18914 streamingfast/tap/firehose-ethereum 3 0%
#18915 jacobfg/taps-old/brew-scripts 3 0%
#18916 mendesbarreto/citizenship/citizen 3 0%
#18917 zhangw/apisix/apisix 3 0%
#18918 okteto/cli/okteto 3 0%
#18919 pillow@10.2.0 3 0%
#18920 nntoan/opensearch-maintenance/opensearch@1 3 0%
#18921 manelatun/catalina/libidn2 3 0%
#18922 homebrew/linux-fonts/font-lxgw-neoxihei 3 0%
#18923 tabakovsasha/ceph-client/ceph-client 3 0%
#18924 pake 3 0%
#18925 appliscale/tap/perun 3 0%
#18926 kentaro-m/md2confl/md2confl 3 0%
#18927 neosw-oss/tap/neos-cli 3 0%
#18928 lstellway/formulae/self-signed-ssl 3 0%
#18929 viva64/pvs-studio/pvs-studio-dotnet-beta 3 0%
#18930 tsaowe/tap/nice-import 3 0%
#18931 rayhunter 3 0%
#18932 helloworld 3 0%
#18933 brewsci/science/fastx_toolkit 3 0%
#18934 reactiveops/tap/pluto 3 0%
#18935 freecad/freecad/pyside2@5.15.11_py310 3 0%
#18936 ligolang/ligo/ligo 3 0%
#18937 stefanocoretta/smallcaps/ro 3 0%
#18938 ark 3 0%
#18939 macaulay2/tap/mathic 3 0%
#18940 persiliao/tk/mysql@8.4 3 0%
#18941 lamehost/aggregate-prefixes/aggregate-prefixes 3 0%
#18942 studiomax/elastic-linux/filebeat-full 3 0%
#18943 hors-org/hors/hors 3 0%
#18944 frodo 3 0%
#18945 jydu/biopp/libbpp-phyl 3 0%
#18946 dbt-labs/dbt/dbt-postgres@1.1.0 3 0%
#18947 homebrew/linux-fonts/font-alegreya 3 0%
#18948 tmsdnl/tools/fabric-cli 3 0%
#18949 wix-incubator/brew/applesimutils 3 0%
#18950 wooga/tools/unity-version-manager 3 0%
#18951 homebrew/linux-fonts/font-fira-mono-for-powerline 3 0%
#18952 mittelmark/mittelmark/me24 3 0%
#18953 elwin/tools/podcast-dl 3 0%
#18954 richie765/v8/v8-315 3 0%
#18955 rylan12/personal/zsh-git-escape-magic 3 0%
#18956 pshaddel/conventionalcommit/conventionalcommit 3 0%
#18957 libpng@1.6.45 3 0%
#18958 devopsartfactory/devopsart/redhawk 3 0%
#18959 sunggun-yu/tap/dnsq 3 0%
#18960 hitarthgandhi/zhistory/zhistory 3 0%
#18961 homebrew/linux-fonts/font-zilla-slab-highlight 3 0%
#18962 daltonsw/tap/stylish 3 0%
#18963 py2cairo 3 0%
#18964 schwa/schwa/simple-disk-benchmark 3 0%
#18965 shivammathur/extensions/gearman@5.6 3 0%
#18966 jenslauterbach/ddbt/ddbt 3 0%
#18967 obay/tap/tfsplit 3 0%
#18968 kjobwidgets 3 0%
#18969 predatorray/brew/kubectl-alias 3 0%
#18970 homebrew/cask-versions/hugo@0.122.0 3 0%
#18971 derekselander/funpack/excinject 3 0%
#18972 kyoh86/tap/exportloopref 3 0%
#18973 fishtown-analytics/dbt/dbt@0.18.1 3 0%
#18974 prongbang/formulae/localizegen 3 0%
#18975 debugpy 3 0%
#18976 justintime50/formulas/clienv 3 0%
#18977 wouterdebruijn/virt-manager/virt-viewer 3 0%
#18978 blogabe/xplanet/xplanet 3 0%
#18979 chenrui333/tap/mnamer 3 0%
#18980 jmoney/ai-utils/tokenizer 3 0%
#18981 yashschandra/freetube/freetube 3 0%
#18982 wmaciejak/seabolt/seabolt 3 0%
#18983 w31/extras/dotnet-sdk 3 0%
#18984 mobile-dev-inc/tap/maestro@1.37 3 0%
#18985 marcus-crane/tap/spanner 3 0%
#18986 manelatun/bottles/flex 3 0%
#18987 southclaws/sampctl/sampctl 3 0%
#18988 karoloslykos/tap/hackertea 3 0%
#18989 chenrui333/tap/giq 3 0%
#18990 shotakashihara/tap/accs 3 0%
#18991 ultimatenova1203/maxcso/maxcso 3 0%
#18992 buidl-bitcoin/libsecp256k1/libsecp256k1 3 0%
#18993 josefdolezal/formulae/xcman 3 0%
#18994 jvanbuel/flowrs/flowrs 3 0%
#18995 digitalspacestdio/common/msmtp@1.8 3 0%
#18996 daykrm/automan/automan 3 0%
#18997 nealcaren/tools/randomrows 3 0%
#18998 python-jinja 3 0%
#18999 martinbernstorff/tap/gk 3 0%
#19000 homebrew/linux-fonts/font-lxgw-fasmartgothic 3 0%
#19001 oohyun15/ballantine/ballantine 3 0%
#19002 riscv32-elf-binutils 3 0%
#19003 twam/openfpga/prjtrellis 3 0%
#19004 libgaiagraphics 3 0%
#19005 microsoft/mssql-release/msodbcsql18@18.2.2.1 3 0%
#19006 tychop/gitgulf/gitgulf 3 0%
#19007 shivammathur/extensions/mailparse@7.2 3 0%
#19008 devlup-labs/spok/spok 3 0%
#19009 shenyouxiangwai/ai-better-git-commands/ai-better-git-commands 3 0%
#19010 shivammathur/extensions/raphf@8.1 3 0%
#19011 shivammathur/extensions/lua@7.0 3 0%
#19012 drdeee/qemu-virgl/libepoxy-angle 3 0%
#19013 shivammathur/extensions/couchbase@7.2 3 0%
#19014 yugabyte/tap/yb-voyager@1.7.0 3 0%
#19015 version 3 0%
#19016 shivammathur/extensions/ast@7.0 3 0%
#19017 martian-software-inc/chatkeeper/chatkeeper@1.1.0 3 0%
#19018 epidemicsound/epidemicsound/epidemicsound 3 0%
#19019 scenee/formulae/relax 3 0%
#19020 swiftbrew/tap/swiftbrew 3 0%
#19021 arconia-io/tap/arconia-cli 3 0%
#19022 pism/pism/pism 3 0%
#19023 rdesktop 3 0%
#19024 vapor/tap/vapor1 3 0%
#19025 jakewmeyer/geo/geo 3 0%
#19026 jftuga/tap/gostat 3 0%
#19027 lifely/jenkins-slave/jenkins-slave 3 0%
#19028 rxseger/hackrf/lte-cell-scanner 3 0%
#19029 shiro-46-call/tap/pygpt 3 0%
#19030 isan-rivkin/toolbox/surf 3 0%
#19031 mraffonso/randpass/randpass 3 0%
#19032 dart-lang/dart/dart@3.0.3 3 0%
#19033 ccxluo/tap/colima 3 0%
#19034 chenrui333/tap/darker 3 0%
#19035 dotzenith/tap/tok 3 0%
#19036 monk-io/sonaric/sonaric-runtime 3 0%
#19037 willmac16/oss-fpga/nextpnr-ecp5 3 0%
#19038 astropenguin/radex/radex 3 0%
#19039 devopsdays/tap/devopsdays-cli 3 0%
#19040 pyama86/ptools/tty-share 3 0%
#19041 manojkarthick/pqrs/pqrs 3 0%
#19042 blogabe/xplanet/python@2 3 0%
#19043 eddiecameron/things/notarize-app 3 0%
#19044 nshipster/formulae/swift-format 3 0%
#19045 drozer 3 0%
#19046 backbase/m/variants@0.9.2 3 0%
#19047 0xbs/tap/secrets 3 0%
#19048 j178/tap/prefligit 3 0%
#19049 maksim-paskal/tap/developer-proxy 3 0%
#19050 pingcap/brew/tiup 3 0%
#19051 tavispalmer/kde/kconfig 3 0%
#19052 chrishannah/textcase/textcase 3 0%
#19053 gf-svn 3 0%
#19054 osrf/simulation/bullet@2.87 3 0%
#19055 kazhuravlev/git-tools/git-tools 3 0%
#19056 ruoyutest2021/portable-ruby-aarch64-linux/portable-ncurses 3 0%
#19057 wim-vdw/tap/az-secret2env 3 0%
#19058 maoertel/tap/ftdc 3 0%
#19059 bazelbuild/tap/bazel@3.6.0 3 0%
#19060 manelatun/catalina/python@3.12 3 0%
#19061 flatpak 3 0%
#19062 marccarre/ssh-to-ansible/s2a 3 0%
#19063 pjgaetan/pjgaetan/airflow-cli 3 0%
#19064 nekrassov01/tap/s3bytes 3 0%
#19065 manelatun/catalina/libtasn1 3 0%
#19066 banzaicloud/tap/kurun 3 0%
#19067 nirmata/nctl/nctl@4.4-rc 3 0%
#19068 eso/pipelines/esopipe-visir-demo 3 0%
#19069 clojure/tools/clojure@1.12.0.1495 3 0%
#19070 eru/tap/xrdp 3 0%
#19071 cloudamqp/cloudamqp/sparoid 3 0%
#19072 tmpl 3 0%
#19073 brewsci/bio/slim 3 0%
#19074 mht208/formal/zchaff 3 0%
#19075 k1low/tap/calver 3 0%
#19076 kreeblah/pololu-tap/libusbp 3 0%
#19077 kreeblah/pololu-tap/pavr2 3 0%
#19078 ilpanda/repo/rabbit 3 0%
#19079 sass/sass/sass@1.45.0-rc.1 3 0%
#19080 php-cs-fixer@2.19 3 0%
#19081 z80oolong/eaw/nano-head 3 0%
#19082 onmetal-dev/tap/cli@0.0.1-alpha-9_17 3 0%
#19083 hkievet/pdfmirror/pdfmirror 3 0%
#19084 sirwindfield/tap/git-cm 3 0%
#19085 treelike 3 0%
#19086 samifouad/gild/gild 3 0%
#19087 kreeblah/flexigif-tap/flexigif 3 0%
#19088 batuhankucukali/mdtable2csv/mdtable2csv 3 0%
#19089 sjorek/php/composer1-php74 3 0%
#19090 yann-r/canettes/slackdump 3 0%
#19091 thefanatr/slang/slang 3 0%
#19092 aegoroff/tap/editorconfiger 3 0%
#19093 nshipster/formulae/xcprojectlint 3 0%
#19094 fake_rust 3 0%
#19095 timvw/tap/arrow-flight-sql-client 3 0%
#19096 tillig/mods/gettext 3 0%
#19097 shivangp76/zathura/zathura-djvu 3 0%
#19098 cqlabs/dcm/dcm@1.18.0 3 0%
#19099 xkcp/tap/xkcp 3 0%
#19100 lupbrew/packages/vrv 3 0%
#19101 bunnyshell/tap/cli 3 0%
#19102 davep/homebrew/oshit 3 0%
#19103 keith/formulae/sourcekit-lsp 3 0%
#19104 giuscri/tap/vault-periodic-oidc-login 3 0%
#19105 davidtkeane/rangerchat/rangerchat 3 0%
#19106 sergiorivas/tap/lazyalias 3 0%
#19107 idpbuilder 3 0%
#19108 tamada/tap/totebag 3 0%
#19109 tomkyle/negatives/linear-tiff 3 0%
#19110 patrickdappollonio/tap/wait-for 3 0%
#19111 kreeblah/sctools-tap/sctools 3 0%
#19112 gotgenes/gotgenes/getignore 3 0%
#19113 jedipunkz/miniecs/miniecs 3 0%
#19114 ensembl/external/sratoolkit 3 0%
#19115 ensembl/external/lp_solve 3 0%
#19116 pothosware/pothos/pothosplotters 3 0%
#19117 ttl256/euivator/euivator 3 0%
#19118 sidaf/pentest/hashcat-bin 3 0%
#19119 davidchall/hep/nlojet++ 3 0%
#19120 mikessh/repseq/vdjtools 3 0%
#19121 yoidea/acsulogin/acsulogin 3 0%
#19122 shopify/shopify/nginx-shopify 3 0%
#19123 liwuhou/formulae/nr 3 0%
#19124 tgotwig/sic/sic 3 0%
#19125 kitao/pyxel/pyxel 3 0%
#19126 muntasirszn/programs/getquotes 3 0%
#19127 vandud/hashicorp-tap/terraform 3 0%
#19128 danpashin/repo/clolcat 3 0%
#19129 zlfzx/xyz/synchroma 3 0%
#19130 sjorek/php/composer28-php81 3 0%
#19131 dakra/tap/goimapnotify 3 0%
#19132 habib-human/plasann/plasann 3 0%
#19133 aczzi/ffmpeg/v600 3 0%
#19134 lucasepe/cli-tools/jviz 3 0%
#19135 shivammathur/extensions/mailparse@7.0 3 0%
#19136 justinokamoto/espeak-ng/espeak-ng 3 0%
#19137 shivammathur/extensions/lua@7.1 3 0%
#19138 patricktcoakley/formulae/gdvm 3 0%
#19139 shivammathur/extensions/gnupg@7.0 3 0%
#19140 blacktop/tap/bottle-bomb 3 0%
#19141 brewsci/science/ds9 3 0%
#19142 eso/pipelines/esopipe-esotk-demo 3 0%
#19143 enkryptify/enkryptify/enkryptify 3 0%
#19144 octave-app/octave-app/pyqt-octapp@5 3 0%
#19145 gst-plugins-good 3 0%
#19146 scaleway/scaleway/docker-machine-driver-scaleway 3 0%
#19147 msakai/tap/toysolver 3 0%
#19148 spotify/spotify/gcs-avro-tools 3 0%
#19149 jasonuc/tap/gignr 3 0%
#19150 brewsci/science/igvtools 3 0%
#19151 verticalize 3 0%
#19152 gromgit/dev/nsxiv 3 0%
#19153 adrianliechti/tap/kubectl-dashboard 3 0%
#19154 elastic/tap/logstash-oss 3 0%
#19155 microsoft/mssql-release/msodbcsql18@18.3.2.1 3 0%
#19156 derailed/k9s/k9s@0.31.9 3 0%
#19157 domain-group/aws-sso-profile/aws-sso-profile 3 0%
#19158 brewsci/bio/raptor 3 0%
#19159 agiliopadua/extras/tcl-tk-x11 3 0%
#19160 linuxbrew/fonts/font-sauce-code-pro-nerd-font 3 0%
#19161 mittelmark/mittelmark/me09 3 0%
#19162 skidfuscatordev/skidfuscator/skidfuscator 3 0%
#19163 manticoresoftware/tap/manticore-buddy 3 0%
#19164 therealpaulgg/ssh-sync/ssh-sync 3 0%
#19165 shatangse590/ffmpeg/ffmpeg 3 0%
#19166 nejohnson2/sdr/bladerf 3 0%
#19167 eduardorodriguesf/quartz/quartz 3 0%
#19168 slygen 3 0%
#19169 pingidentity/devops/ping-devops 3 0%
#19170 keith/formulae/tag 3 0%
#19171 ctongfei/repo/hypermake 3 0%
#19172 twilio/brew/twilio@5.20.0 3 0%
#19173 carpeliam/brew/gitshorty 3 0%
#19174 itchyny/tap/fillin 3 0%
#19175 studiomax/elastic-linux/logstash-full 3 0%
#19176 arrow2nd/tap/jisyo 3 0%
#19177 idagelic/d/daytona 3 0%
#19178 infomaker/core/brew-security-checker 3 0%
#19179 llama 3 0%
#19180 onboardbase/brew/onboardbase 3 0%
#19181 brewsci/bio/raven-assembler 3 0%
#19182 nanovms/toolchains/aarch64-linux-binutils 3 0%
#19183 onsonr/tap/sonr 3 0%
#19184 shaunplee/rhyme/rhyme 3 0%
#19185 whomwah/tap/findd 3 0%
#19186 knative-sandbox/kn-plugins/source-kafka 3 0%
#19187 jamesy0ung/glad/glad 3 0%
#19188 chenrui333/tap/omekasy 3 0%
#19189 crowdsec 3 0%
#19190 cablehead/tap/cross-stream 3 0%
#19191 indirect/tap/weird_fortunes 3 0%
#19192 samdmarshall/formulae/privatesdks 3 0%
#19193 oven-sh/bun/bun@1.0.31 3 0%
#19194 arpit-curve/free-memory/free-memory 3 0%
#19195 sam701/awstools/awstools 3 0%
#19196 nosana-ci/tools/nosana-node 3 0%
#19197 thecasualcoder/stable/gg 3 0%
#19198 mckernant1/tap/timeit 3 0%
#19199 bevanjkay/formulae/bible-import 3 0%
#19200 jhanekom27/rust-beam/rust-beam 3 0%
#19201 gabalpha/tap/basilk 3 0%
#19202 koki-develop/tap/kansai 3 0%
#19203 osxphotos 3 0%
#19204 mc-rtc/mc-rtc/rbdyn 3 0%
#19205 jameschung/tap/cprl 3 0%
#19206 libthai 3 0%
#19207 astronomer/tap/astro@0.29.0 3 0%
#19208 nicerloop/nicerloop/orbicfg 3 0%
#19209 kabel/php-ext/php@8.1-snmp 3 0%
#19210 miroapp-dev/miro/service-configurator 3 0%
#19211 sidaf/pentest/powersploit 3 0%
#19212 bricktopab/gg/gg 3 0%
#19213 brewsci/science/alglib 3 0%
#19214 kenshaw/iv/iv 3 0%
#19215 rdump/versions/kubernetes-cli@1.26.3 3 0%
#19216 gromgit/dev/odin-latest 3 0%
#19217 chenrui333/tap/visidata 3 0%
#19218 aider-chat 3 0%
#19219 lorenzophys/maki/maki 3 0%
#19220 aavilaherrera/dino/dino 3 0%
#19221 bfontaine/utils/grape 3 0%
#19222 bizflycloud/bizflyctl/bizflyctl 3 0%
#19223 llmariner/tap/llma 3 0%
#19224 shivammathur/extensions/raphf@7.4 3 0%
#19225 odpf/taps/optimus 3 0%
#19226 luzen23141/taps/rclone 3 0%
#19227 bucketplace/platform/mortar@2.3 3 0%
#19228 ch007m/helloworld/hello 3 0%
#19229 thazelart/tap/golang-cli-template 3 0%
#19230 glibmm@2.32.0 3 0%
#19231 sunshinexcode/rte-cli/rte-cli 3 0%
#19232 petere/sgml/docbook-sgml 3 0%
#19233 paru 3 0%
#19234 ch007m/idpbuilder/idpbuilder 3 0%
#19235 prskr/the-prancing-package/git-age-keyring-agent 3 0%
#19236 sans-sroc/tools/integrity 3 0%
#19237 misakatao/cask/python2 3 0%
#19238 traefik/tap/yaegi 3 0%
#19239 greymd/tools/ewscli 3 0%
#19240 i3ash/x/fortify 3 0%
#19241 z80oolong/eaw/mutt 3 0%
#19242 zachinachshon/tap/dotfiles-cli 3 0%
#19243 blendle/blendle/kubecrt 3 0%
#19244 sequoia-chameleon 3 0%
#19245 haensl/haensl/m4a2mp3 3 0%
#19246 pachyderm/tap/pachctl@1.13 3 0%
#19247 swordfaith/pentest/impacket 3 0%
#19248 logfire-sh/tap/logfire 3 0%
#19249 tillig/mods/wget 3 0%
#19250 romankurnovskii/awesome-brew/unrar 3 0%
#19251 eblot/armeabi/arm-none-eabi-binutils 3 0%
#19252 dbcli/tap/athenacli 3 0%
#19253 kevemueller/ksysroot/ksysroot_x86_64-freebsd14@14.2-freebsd-stable 3 0%
#19254 homebrew/cask/swiftlint@0.50.1 3 0%
#19255 dpb587/tap/gotmpl 3 0%
#19256 stealthrocket/dispatch/dispatch 3 0%
#19257 vbouchaud/tap/k8s-ldap-auth 3 0%
#19258 resynthesizer 3 0%
#19259 belgaied2/harvester/harvester 3 0%
#19260 brewsci/num/brewsci-scotch 3 0%
#19261 bazelbuild/tap/bazel@3.4.1 3 0%
#19262 streamingfast/tap/substreams-sink-noop 3 0%
#19263 dylantientcheu/dylantientcheu/nbacli 3 0%
#19264 davidchall/hep/apfel 3 0%
#19265 alhadis/troff/neatroff 3 0%
#19266 thetillhoff/tap/webscan 3 0%
#19267 k1low/tap/filt 3 0%
#19268 lego/aws-credentials-cli-rs/aws-credentials-cli 3 0%
#19269 shivammathur/extensions/raphf@7.2 3 0%
#19270 ossp 3 0%
#19271 suhailskhan/formulae/lima 3 0%
#19272 barbich/contrib/phockup 3 0%
#19273 tditlu/amiga/vbcc 3 0%
#19274 himkt/himkt/ac-random-test 3 0%
#19275 pehlicd/tap/amtui 3 0%
#19276 gtkhash 3 0%
#19277 ensembl/external/exonerate24 3 0%
#19278 webhookx-io/webhookx/webhookx 3 0%
#19279 turing-guild/pkgs/serialmonitor 3 0%
#19280 brewsci/bio/metabuli 3 0%
#19281 chenrui333/tap/scrt 3 0%
#19282 gerardnico/tap/ansx 2 0%
#19283 wang-q/tap/bifrost@1.3.5 2 0%
#19284 dbt-labs/dbt/dbt-snowflake@1.5.7 2 0%
#19285 gasol/formulae/aglio 2 0%
#19286 dbt-labs/dbt/dbt-bigquery@1.5.9 2 0%
#19287 szampier/pipelines/esopipe-eris-datastatic 2 0%
#19288 digitalspacestdio/php/php71-xhprof 2 0%
#19289 muesli/tap/mastotool 2 0%
#19290 ghaxz/tap/snow 2 0%
#19291 eclipse-zenoh/zenoh/zenoh-bridge-mqtt 2 0%
#19292 infisical/get-cli/infisical@0.29.0 2 0%
#19293 adzimzf/tpot/tpot 2 0%
#19294 littlehorse-enterprises/lh/lhctl@0.8 2 0%
#19295 dnanhkhoa/tap/acm 2 0%
#19296 dtaniwaki/git-kustomize-diff/git-kustomize-diff 2 0%
#19297 gerardnico/tap/gitx 2 0%
#19298 ebu/nga/libbw64 2 0%
#19299 bendingspoons/tap/winston 2 0%
#19300 littlehorse-enterprises/lh/lhctl@0.10 2 0%
#19301 ensighten/formulae/dumb-init 2 0%
#19302 twilio/brew/twilio@4.2.0 2 0%
#19303 yoggy/tap/oscpack 2 0%
#19304 sunbit-dev/tap/sunbit-cli 2 0%
#19305 remino/remino/vidmod 2 0%
#19306 jondotsoy/core/ndate 2 0%
#19307 brewsci/bio/pll-modules 2 0%
#19308 mtintes/configamajig/configamajig 2 0%
#19309 vanta-enrollment/vanta/vanta_darwin_x64_v2.0.3 2 0%
#19310 argoproj/tap/kubectl-argo-rollouts@1.7 2 0%
#19311 chamzzzzzz/protobuf/protobuf@23.3 2 0%
#19312 chiissu/macchiato/zig-nominated 2 0%
#19313 python-mako 2 0%
#19314 kristijanzic/tap/ardour 2 0%
#19315 19916302128/tccli-intl-en/tccli 2 0%
#19316 dominicletz/extra/wxmac@3.1.4 2 0%
#19317 stuarthicks/tap/rextract 2 0%
#19318 egym/tap/helm-deploy 2 0%
#19319 scaryrawr/formulae/zpiral 2 0%
#19320 k3ii/tap/conze 2 0%
#19321 kreeblah/zathura/zathura-pdf-poppler 2 0%
#19322 git-story-branch/tap/git-story 2 0%
#19323 jasonjckn/emacsmacport/emacs-mac 2 0%
#19324 chenrui333/tap/kpt 2 0%
#19325 brotherbui/homebrew/ord@0.20.1 2 0%
#19326 z80oolong/eaw/neomutt 2 0%
#19327 chenrui333/tap/speedtest 2 0%
#19328 shopify/shopify/trino-cli 2 0%
#19329 daisukekarasawa/tap/hello-cli 2 0%
#19330 modm-ext/modm/doxypress 2 0%
#19331 nicknacnic/prune/prune 2 0%
#19332 krud-dev/tap/ostara-agent 2 0%
#19333 19916302128/tccli/tccli-intl-en 2 0%
#19334 knative-extensions/kn-plugins/func@1.13 2 0%
#19335 chenrui333/tap/saw 2 0%
#19336 yellowsink/gord/gord 2 0%
#19337 dropsite-ai/tap/ghdownloader 2 0%
#19338 cockroachdb/tap/cockroach@25.1 2 0%
#19339 websharks/formulas/websharks-hubflow 2 0%
#19340 brewsci/bio/mhap 2 0%
#19341 depop/tools/depop-cli 2 0%
#19342 the-jinxist/genie/genie 2 0%
#19343 kyoh86/tap/looppointer 2 0%
#19344 efmrl/cli/cli 2 0%
#19345 yuygfgg/vapoursynth/zimg 2 0%
#19346 mirpo/tools/chopdoc 2 0%
#19347 odpf/taps/meteor 2 0%
#19348 bkeane/tools/substrate 2 0%
#19349 legaard/tools/uuidy 2 0%
#19350 borkdude/brew/carve 2 0%
#19351 lifepillar/appleii/ciderpress 2 0%
#19352 mitakeck/oreillyfreebook/oreillyfreebook 2 0%
#19353 dferramosi/tap/gosweeper 2 0%
#19354 amachang/random-scripts/random-scripts 2 0%
#19355 sigdigger 2 0%
#19356 drujensen/ldsync/ldsync 2 0%
#19357 chenrui333/tap/zig@0.13 2 0%
#19358 eisl 2 0%
#19359 saindriches/vapoursynth/l-smash-works 2 0%
#19360 shaunplee/asic/tk-with-x 2 0%
#19361 homebrew/linux-fonts/font-anek-latin 2 0%
#19362 chenxingqiang/repofm/repofm 2 0%
#19363 chenrui333/tap/preevy 2 0%
#19364 chenrui333/tap/trdsql 2 0%
#19365 chenrui333/tap/stacks-cli 2 0%
#19366 eero-inc/eero/eero-admin 2 0%
#19367 chenrui333/tap/mob 2 0%
#19368 homebrew/linux-fonts/font-andika 2 0%
#19369 hierarchy-builder 2 0%
#19370 troian/tap/jwtpp 2 0%
#19371 homebrew/linux-fonts/font-alegreya-sans 2 0%
#19372 chenrui333/tap/kapp 2 0%
#19373 chenrui333/tap/tml 2 0%
#19374 wang-q/tap/fastk 2 0%
#19375 chenrui333/tap/timetrace 2 0%
#19376 crismorgantee/git-branch-helper/gkb 2 0%
#19377 sgrastar24/tap/cbeacon 2 0%
#19378 brew-tools/tap/gotouch 2 0%
#19379 chenrui333/tap/netfetch 2 0%
#19380 krzko/tap/codemap 2 0%
#19381 redis-developer/tap/riotx 2 0%
#19382 eengl/nceplibs/nceplibs-g2 2 0%
#19383 chenrui333/tap/gitmux 2 0%
#19384 sysinternals/sysinternalstap/procdump 2 0%
#19385 samuherek/tap/eximed 2 0%
#19386 alvaro-aguirre-cl/esopipelines/gsl@2.6 2 0%
#19387 chenrui333/tap/container2wasm 2 0%
#19388 neved4/tap/packer-tmp 2 0%
#19389 chenrui333/tap/tgpt 2 0%
#19390 ypso-azure-cli 2 0%
#19391 chenrui333/tap/npkill 2 0%
#19392 hyano/sigrok/sigrok-cli 2 0%
#19393 alphahydrae/tools/update-asdf-tools 2 0%
#19394 z80oolong/tmux/tmux@3.1b 2 0%
#19395 z80oolong/tmux/tmux@3.2 2 0%
#19396 yesser-studios/tap/javatutorinkt 2 0%
#19397 xyliax/tap/jungle 2 0%
#19398 eclipse-zenoh/zenoh/zenoh-bridge-ros2dds 2 0%
#19399 shivammathur/extensions/psr@7.1 2 0%
#19400 tarantool/tap/tarantool@2.5 2 0%
#19401 subito-it/made/cachi 2 0%
#19402 paularlott/tap/knot 2 0%
#19403 chenrui333/tap/yosay 2 0%
#19404 homebrew/linux-fonts/font-cabin-condensed 2 0%
#19405 linuxbrew/fonts/font-noto-sans 2 0%
#19406 chenrui333/tap/slang-verilog 2 0%
#19407 chenrui333/tap/pgdog 2 0%
#19408 gwinstanley/utils/mpv-sofa 2 0%
#19409 chenrui333/tap/grmon 2 0%
#19410 luzen23141/taps/air 2 0%
#19411 kabel/pecl/php-swoole 2 0%
#19412 bendingspoons/tap/jarvis 2 0%
#19413 linuxbrew/fonts/font-iosevka 2 0%
#19414 abinit/tap/libxc4 2 0%
#19415 brewsci/bio/transabyss 2 0%
#19416 kabel/pecl/php@8.1-redis 2 0%
#19417 malleefoul/etc/enblend 2 0%
#19418 darrenburns/homebrew/posting 2 0%
#19419 wealthsimple/tap/cocoapods 2 0%
#19420 jacobfg/taps-old/ssh-over-ssm 2 0%
#19421 yaml/yamlscript/ys@0.1.80 2 0%
#19422 aquarela-io/cpf/cpf 2 0%
#19423 ownia/ownia/picocom 2 0%
#19424 jydu/biopp/libbpp-seq 2 0%
#19425 fangli/dev/rancherssh 2 0%
#19426 starcoinorg/starcoin/starcoin 2 0%
#19427 vitorgalvao/tiny-scripts/fork-delete 2 0%
#19428 codemeall/cligo/kvstore 2 0%
#19429 jayesh83/localiser/localiser 2 0%
#19430 fioncat/apps/kubewrap 2 0%
#19431 bupd/harborcli/harborcli 2 0%
#19432 kabel/pecl/php@8.2-amqp 2 0%
#19433 oven-sh/bun/bun@1.0.4 2 0%
#19434 hazelcast/hz/hazelcast@5.0 2 0%
#19435 cppfw/tap/prorab 2 0%
#19436 sjorek/php/composer1-php80 2 0%
#19437 nicerloop/nicerloop/macos-defaults 2 0%
#19438 iainsmith/formulae/swift-docker 2 0%
#19439 pulck/mpvdeps/libxinerama 2 0%
#19440 pulck/mpvdeps/libdvdnav 2 0%
#19441 pulck/mpvdeps/ffmpeg 2 0%
#19442 dnjstrom/git-select-branch/git-select-branch 2 0%
#19443 sjorek/php/composer1-php84 2 0%
#19444 homebrew/linux-fonts/font-codicon 2 0%
#19445 clintonsteiner/mkdocs-material/pytest 2 0%
#19446 sjorek/php/composer22-php84 2 0%
#19447 dashwave/dw/dw 2 0%
#19448 sdl_mixer_new 2 0%
#19449 nik-rev/tap/patchy-bin 2 0%
#19450 anttiharju/app/vmatch 2 0%
#19451 lidaobing/repo/gedit 2 0%
#19452 twelvelabs/tap/stamp 2 0%
#19453 snowflakedb/cloudeng/efdb 2 0%
#19454 chenpeizhi/zathura/zathura 2 0%
#19455 backbase/m/variants@1.2.0 2 0%
#19456 codetales/tap/donner 2 0%
#19457 seanhoughton/astronomy/libcfitsio 2 0%
#19458 pchuri/python2/python@2 2 0%
#19459 lndgalante/subtis-cli/subtis-cli 2 0%
#19460 eliasnau/taps/clipr 2 0%
#19461 starkandwayne/cf/eden 2 0%
#19462 chenrui333/tap/spok 2 0%
#19463 fluxctl 2 0%
#19464 infisical/get-cli/infisical@0.28.0 2 0%
#19465 screeningeagledreamlab/formulas/carthage 2 0%
#19466 chenrui333/tap/rustywind 2 0%
#19467 chenrui333/tap/enry 2 0%
#19468 srerickson/ocfl-tools/ocfl 2 0%
#19469 martinbernstorff/tap/gokid 2 0%
#19470 loloke/c64tools/exomizer 2 0%
#19471 rioriost/parasync/parasync 2 0%
#19472 chenrui333/tap/otel-cli 2 0%
#19473 chenrui333/tap/jaggr 2 0%
#19474 ryanguo13/sysfetch/sysfetch 2 0%
#19475 daulet/unjson/unjson 2 0%
#19476 kabel/pecl/php@8.2-grpc 2 0%
#19477 buildkite/buildkite/terminal-to-html 2 0%
#19478 andantissimo/php/php-fpm 2 0%
#19479 tv2/pixl/pixl 2 0%
#19480 bluebricks-co/bricks/bricks@1.36.0 2 0%
#19481 kitops 2 0%
#19482 andresv/gnuradio/rtlsdr 2 0%
#19483 movableink/formulas/nsq 2 0%
#19484 chenrui333/tap/org-formation 2 0%
#19485 bazelbuild/tap/bazel@6.3.0 2 0%
#19486 shivammathur/extensions/ssh2@7.0 2 0%
#19487 shivammathur/extensions/propro@7.4 2 0%
#19488 chenrui333/tap/threatcl 2 0%
#19489 koluku/tap/s3s 2 0%
#19490 chenrui333/tap/togomak 2 0%
#19491 scalvert/tap/glean-cli 2 0%
#19492 fluxfederation/apache-arrow/apache-arrow-glib@8 2 0%
#19493 jeeftor/tap/license-manager 2 0%
#19494 tox/tox/libfilteraudio 2 0%
#19495 isometry/tap/docker-credential-env 2 0%
#19496 chenrui333/tap/asciinema 2 0%
#19497 aconchillo/guile/guile-config 2 0%
#19498 chenrui333/tap/terracove 2 0%
#19499 nt0xa/sonar/sonar 2 0%
#19500 chenrui333/tap/tf-summarize 2 0%
#19501 chenrui333/tap/tftarget 2 0%
#19502 jftuga/tap/sns_publish 2 0%
#19503 pantersoft/pantersoft/networkprofiles 2 0%
#19504 typesense/tap/typesense-server@0.24.0 2 0%
#19505 timescam/tap/ranger-kitty 2 0%
#19506 introhive/hivectl/hivectl 2 0%
#19507 chenrui333/tap/kbld 2 0%
#19508 mht208/formal/sylvan 2 0%
#19509 jtyr/repo/pbt 2 0%
#19510 freecad/freecad/opencascade@7.7.2 2 0%
#19511 chrispruitt/tap/ssm-parameter-store 2 0%
#19512 inouet/ken-all/ken-all 2 0%
#19513 square/formula/aws-creds 2 0%
#19514 hayagriva 2 0%
#19515 pipejesus/pipejesus/yayo 2 0%
#19516 hazelcast/hz/hazelcast@5.2.1 2 0%
#19517 iltommi/brews/hdf5-parallel 2 0%
#19518 moves-rwth/misc/carl 2 0%
#19519 badger-commerce/badger-cli/badger 2 0%
#19520 yugabyte/tap/yb-voyager@1.8.5 2 0%
#19521 nitidbit/gpush/gpush@2.2.3 2 0%
#19522 brewsci/bio/mpboot 2 0%
#19523 digitalspacestdio/docker-compose-oroplatform/docker-compose-oroplatform 2 0%
#19524 mp3fs 2 0%
#19525 hazelcast/hz/hazelcast-management-center@5.0.4 2 0%
#19526 chenrui333/tap/terraform-cleaner 2 0%
#19527 frizlab/perso/cvsps@2 2 0%
#19528 brianm/tools/wsf 2 0%
#19529 tend 2 0%
#19530 tflint@0.53.0 2 0%
#19531 lgarron/lgarron/servecert 2 0%
#19532 willbonde/ra-aid/ra-aid 2 0%
#19533 datarootsio/tf-profile/tf-profile 2 0%
#19534 homebrew/cask/icu4c@70.1 2 0%
#19535 tuzi3040/deprecated/googler-deprecated 2 0%
#19536 grahambrooks/astgen/astgen 2 0%
#19537 caesium-clt 2 0%
#19538 freecad/freecad/shiboken2@5.15.11_py310 2 0%
#19539 dragonops-io/tap/docli 2 0%
#19540 libprojectm-eval 2 0%
#19541 afl-fuzz 2 0%
#19542 authzed/tap/spicedb@1.36.2 2 0%
#19543 octave-app/octave-app/qt-octapp_5.15.13 2 0%
#19544 sazriel26/nebius-cli-preview/nebius-cli 2 0%
#19545 pothosware/pothos/soapynetsdr 2 0%
#19546 shini4i/tap/kd 2 0%
#19547 hazelcast/hz/hazelcast@5.3.0 2 0%
#19548 ixbox/tap/php@8.0 2 0%
#19549 spqr-go/spqr/spqr 2 0%
#19550 __timescale/tap/timescaledb-toolkit 2 0%
#19551 chenrui333/tap/ssx 2 0%
#19552 iskng/msgtap/msgexp 2 0%
#19553 bitpingapp/tap/bping 2 0%
#19554 wang-q/tap/sparsemem 2 0%
#19555 orf/brew/deterministic-zip 2 0%
#19556 zhangchenguang231x/php/php@7.4 2 0%
#19557 markgraziano-twlo/oiv2cq/oiv2cq 2 0%
#19558 thomaspaulmann/formulae/swish 2 0%
#19559 playtechnique/templ/templ 2 0%
#19560 elorest/crystal/cry 2 0%
#19561 pulck/mpvdeps/speexdsp 2 0%
#19562 weaveworks/tap/gitops-ee 2 0%
#19563 svix-mman/svix/svix-cli 2 0%
#19564 pulck/mpvdeps/orc 2 0%
#19565 chenrui333/tap/openssl@1.1 2 0%
#19566 azorng/material/material 2 0%
#19567 yoannfleurydev/gitweb/gitweb 2 0%
#19568 airplanedev/tap/airplane 2 0%
#19569 stackgenhq/stackgen/stackboot 2 0%
#19570 rai62/screanswer/screanswer 2 0%
#19571 stounfo/stounfo/txc 2 0%
#19572 felix-cli/felix/felix 2 0%
#19573 gerardnico/tap/bashlib 2 0%
#19574 kryn3n/kryn3n/goblin 2 0%
#19575 wanddynosios/tap/cf-cli 2 0%
#19576 postgis@15 2 0%
#19577 tchoupinax/brew/ssh-list 2 0%
#19578 loloke/c64tools/sparkle3 2 0%
#19579 ch-robinson-internal/cloudtechnologies/chatr 2 0%
#19580 noble-varghese/scribe/scribe 2 0%
#19581 eziochiu/toolchain/gcc-cross-m68k@4 2 0%
#19582 acheck 2 0%
#19583 chenrui333/tap/junit2html 2 0%
#19584 aaguirreo/esopipelines/esopipe-naco-recipes 2 0%
#19585 hazelops/ize/ize 2 0%
#19586 dodopizza/tap/yunga 2 0%
#19587 aaguirreo/esopipelines/esopipe-vcam 2 0%
#19588 chenrui333/tap/mitex 2 0%
#19589 crcrworks/lifegame/lifegame 2 0%
#19590 herrmannplatz/adr/adr 2 0%
#19591 nicerloop/nicerloop/pake-cli 2 0%
#19592 iyouthy/apple/game-porting-toolkit 2 0%
#19593 clintval/verticalize/verticalize 2 0%
#19594 shinokada/gitstart/gitstart 2 0%
#19595 justdark/supershell/supershell 2 0%
#19596 stackedpr/stacker/stacker 2 0%
#19597 cdalvaro/tap/cpp-plotly 2 0%
#19598 sdl2-compat 2 0%
#19599 keith/formulae/jtool 2 0%
#19600 redspot/custom/readpe@0.84 2 0%
#19601 homebrew/linux-fonts/font-geist-mono 2 0%
#19602 wfxr/code-minimap/code-minimap 2 0%
#19603 andantissimo/wxmac/wxmac@3.1 2 0%
#19604 xfangfang/macast/ffmpeg-macast 2 0%
#19605 icu4c@73.2 2 0%
#19606 eru/tap/coreutils 2 0%
#19607 chonla/universe/helloworld 2 0%
#19608 davidchall/hep/mcfm 2 0%
#19609 homebrew/linux-fonts/font-ark-pixel-10px-proportional 2 0%
#19610 chenrui333/tap/ip2d 2 0%
#19611 apptio-pne/tools/apptio-certs 2 0%
#19612 gjbae1212/kubectl-cred/kubectl-cred 2 0%
#19613 tmpecho/pacont/pacont 2 0%
#19614 templwind/soul/soul 2 0%
#19615 returntocorp/customlibxmlsec1/libxmlsec1 2 0%
#19616 njsscan 2 0%
#19617 eengl/nceplibs/nceplibs-w3emc 2 0%
#19618 kevinburke/safe/buildkite 2 0%
#19619 asrajavel/taps/testing 2 0%
#19620 expo/expo-utils/expo-actionlint 2 0%
#19621 orzgeeker/orzgeeker/orztinypng 2 0%
#19622 thoran/tap/git-boot 2 0%
#19623 vonglasow/go-template/template-go 2 0%
#19624 fairwindsops/tap/gemini 2 0%
#19625 warexify/tap/class-dump 2 0%
#19626 mscharley/homebrew/im-select 2 0%
#19627 octoscan 2 0%
#19628 toolsascode/tap/gomodeler 2 0%
#19629 tavispalmer/kde/kcodecs 2 0%
#19630 paradigmxyz/brew/cryo 2 0%
#19631 tavispalmer/kde/kconfigwidgets 2 0%
#19632 edy555/openlase/openlase 2 0%
#19633 getgrit/tap/gouda 2 0%
#19634 rockyluke/devops/gem-i2cssh 2 0%
#19635 brewsci/bio/r8s 2 0%
#19636 shivammathur/extensions/psr@5.6 2 0%
#19637 shivammathur/extensions/zmq@7.2 2 0%
#19638 tdsmith/ham/chirp 2 0%
#19639 cosmo-ray/oui/json-search 2 0%
#19640 macvip/mips-sde-elf/mips-sde-elf-binutils 2 0%
#19641 nukleros/tap/operator-builder 2 0%
#19642 branchout/branchout/branchout 2 0%
#19643 inherenc/tap/dtf 2 0%
#19644 php-cs-fixer@2 2 0%
#19645 michimani/tap/cfkvs 2 0%
#19646 josh/tap/tmux-sensible 2 0%
#19647 ohler55/tap/oj 2 0%
#19648 jedahan/zpm/zpm 2 0%
#19649 lordpretzel/lordpretzel/gnupg@2.4.0 2 0%
#19650 manicmaniac/tap/class-dump 2 0%
#19651 shivammathur/extensions/propro@7.1 2 0%
#19652 shivammathur/extensions/zmq@7.0 2 0%
#19653 aktowns/ash/glfw 2 0%
#19654 xprilion/tools/dircat 2 0%
#19655 meowlgmee/macos-cross-toolchains/arm-linux-gnueabi@4.9.4-glibc2.16 2 0%
#19656 lyraphase/right2repair/ansible-builder 2 0%
#19657 open-gpu-cloud 2 0%
#19658 brewforge/extras/tex-fmt 2 0%
#19659 austriacard/acdismac/acdismac 2 0%
#19660 ansible@2.9 2 0%
#19661 nzanepro/usd/boost-python@2 2 0%
#19662 wouterdebie/repo/locast2tuner 2 0%
#19663 mangchhe/plot/plotdbconn 2 0%
#19664 kiraum/tap/cody 2 0%
#19665 eso/pipelines/esopipe-hawki-demo 2 0%
#19666 helson-lin/tap/doke 2 0%
#19667 dave/gpt/gpt 2 0%
#19668 samuherek/tap/eximd 2 0%
#19669 kbookmarks 2 0%
#19670 jondotsoy/core/cofy 2 0%
#19671 shuntaka9576/tap/oax 2 0%
#19672 fishtown-analytics/dbt/dbt@0.20.0 2 0%
#19673 boynton/tap/api 2 0%
#19674 emburse/tap/kustomize 2 0%
#19675 reduction-dev/tap/reduction 2 0%
#19676 manelatun/bottles/sphinx-doc 2 0%
#19677 bazelbuild/tap/bazel@6.2.0.0 2 0%
#19678 iamsinha27/leetcode-dislikes/leetcode-dislikes 2 0%
#19679 iamsinghkrishna/php/php@7.4 2 0%
#19680 drasyl-overlay/tap/drasyl 2 0%
#19681 clowdhaus/tap/ktime 2 0%
#19682 permadart/gum/gum 2 0%
#19683 1xyz/pryrite/pryrite 2 0%
#19684 georgemcarlson/svt-av1/svt-av1 2 0%
#19685 naosnz/xkcdpass/python-xkcdpass 2 0%
#19686 brandonkal/tap/inkjet 2 0%
#19687 omnigres/omnigres/pg_yregress 2 0%
#19688 tgragnato/tap/cryptopp 2 0%
#19689 timescaledb/tap/timescaledb-tools 2 0%
#19690 odpf/tap/meteor 2 0%
#19691 nikaro/tap/docker-langserver 2 0%
#19692 keith/formulae/xcpretty 2 0%
#19693 reiki4040/tap/rnss 2 0%
#19694 acv-auctions/seti/adbm 2 0%
#19695 jasonnathan/skeletor/skeletor 2 0%
#19696 wzid/tap/bible 2 0%
#19697 v3nooonn/taps/st3llar 2 0%
#19698 morpheus-lab/morpheus-versions/morpheus@2.3.5 2 0%
#19699 iamsinghkrishna/php/php 2 0%
#19700 glibc-headers 2 0%
#19701 bl4cc4t/vsplugins/vsp-tcanny 2 0%
#19702 pamburus/tap/hl@0.27.4 2 0%
#19703 paradigmxyz/brew/tbl 2 0%
#19704 infamousjoeg/tap/stow 2 0%
#19705 greenlightme/tap/tfx 2 0%
#19706 denji/nginx/xsltproc-nginx-module 2 0%
#19707 matchpick 2 0%
#19708 szampier/pipelines/esopipe-xshooter-datastatic 2 0%
#19709 atomvm/atomvm/packbeam 2 0%
#19710 mdnoga/pentesting/wfuzz 2 0%
#19711 sidaf/pentest/rdp-sec-check 2 0%
#19712 tavispalmer/kde/kcolorscheme 2 0%
#19713 spurintel/spurintel/spurctx 2 0%
#19714 lassik/lisp/eisl 2 0%
#19715 manelatun/catalina/double-conversion 2 0%
#19716 manelatun/catalina/fmt 2 0%
#19717 attheodo/formulae/wifimon 2 0%
#19718 bww/stable/instaunit 2 0%
#19719 manelatun/catalina/glog 2 0%
#19720 cartavis/tap/zfp 2 0%
#19721 codygarver/ffmpeg/ffmpeg 2 0%
#19722 budougumi0617/lsas/lsas 2 0%
#19723 manelatun/catalina/snappy 2 0%
#19724 afiller/pathmate/rbenv@1.2.0 2 0%
#19725 afiller/pathmate/dialog@1.3-20220117 2 0%
#19726 amber-lang 2 0%
#19727 tmsdnl/tools/fabric 2 0%
#19728 hallee/tap/dotfiles 2 0%
#19729 kabel/php-ext/php@8.2-enchant 2 0%
#19730 foocode123/tools/foocode-cli 2 0%
#19731 joinself/repository/self-sdk 2 0%
#19732 sanelson/freecad/swig@4.0.2 2 0%
#19733 cdzombak/oss/pdate 2 0%
#19734 partiql/partiql/partiql-cli 2 0%
#19735 contactalexliu/magic/magic 2 0%
#19736 apecloud/tap/kbcli@0.8.2 2 0%
#19737 dattito/tap/bin1 2 0%
#19738 norskeld/tap/diceware 2 0%
#19739 drewdeponte/oss/git-cl 2 0%
#19740 thinkiny/emacs-plus/emacs-plus@31 2 0%
#19741 drewdeponte/oss/alt 2 0%
#19742 brewsci/bio/kissplice 2 0%
#19743 sidaf/pentest/hashcat-legacy 2 0%
#19744 brewsci/bio/bamm 2 0%
#19745 ratsky-oss/taps/sshabu 2 0%
#19746 manelatun/bottles/binutils 2 0%
#19747 oven-sh/bun/bun@1.0.10 2 0%
#19748 eric9n/tap/kun_peng 2 0%
#19749 makigumo/tap/intel-pcm 2 0%
#19750 dimaru/formulae/msg2swift 2 0%
#19751 liblas 2 0%
#19752 translized/translized/translized-cli 2 0%
#19753 tequdev/tap/hook-cleaner 2 0%
#19754 darwin-containers/formula/buildkitd 2 0%
#19755 octave-app/octave-app/netpbm-octapp 2 0%
#19756 radical-ui/tap/objection 2 0%
#19757 ccextractor 2 0%
#19758 afl-plus-plus 2 0%
#19759 ahmedsaheed/esolang/esolang 2 0%
#19760 openlldp 2 0%
#19761 mongodb-ansible-roles/gettext/gettext@0.20.2 2 0%
#19762 dpo/openblas/scalapack 2 0%
#19763 daite/collection/angel@0.8.0 2 0%
#19764 lispnik/ciel/ciel 2 0%
#19765 rs/tap/qrinfo 2 0%
#19766 fortio/tap/quartiles 2 0%
#19767 mittelmark/mittelmark/microemacs-macros 2 0%
#19768 nejohnson2/sdr/gr-baz 2 0%
#19769 bulloak 2 0%
#19770 martian-software-inc/chatkeeper/chatkeeper-rc 2 0%
#19771 unravelin/tools/protobuf@23 2 0%
#19772 iron-fish/brew/ironfishbeta 2 0%
#19773 aconchillo/guile/guile-mastodon 2 0%
#19774 tsukinoko-kun/tap/list 2 0%
#19775 homebrew/services/leveldb@1.21 2 0%
#19776 cybergarage/homebrew/mupnp 2 0%
#19777 creamylatte/tap/wateco 2 0%
#19778 hunterliao29/formulae/skhd 2 0%
#19779 rwbutler/tools/cdd 2 0%
#19780 andersonfds/freitool/freitool 2 0%
#19781 manelatun/bottles/rbenv 2 0%
#19782 sidneys/homebrew/ffmpeg 2 0%
#19783 achuchev/tap/venctl 2 0%
#19784 fancom-developer/tap/cloudctl 2 0%
#19785 postgresql@9.4 2 0%
#19786 manelatun/bottles/libtirpc 2 0%
#19787 nexeck/tmuxinator/tmuxinator 2 0%
#19788 gidoichi/cf-ps1/cf-ps1 2 0%
#19789 yschimke/tap/okurl 2 0%
#19790 antsankov/go-live/go-live 2 0%
#19791 kiconthemes 2 0%
#19792 muesli/tap/prism 2 0%
#19793 danpashin/repo/insert_dylib 2 0%
#19794 jafl/jx/code-crusader 2 0%
#19795 evgenyneu/quagga/quagga 2 0%
#19796 liverwust/emacs/emacs-x11 2 0%
#19797 octave-app/octave-app/qt_5.14 2 0%
#19798 danielkag/selek/selek 2 0%
#19799 hayabusa 2 0%
#19800 podman-compose-georgi 2 0%
#19801 djotto/tap/git-info 2 0%
#19802 leoafarias/fvm/fvm@3.0.14 2 0%
#19803 chigraph/kf5/kf5-ktexteditor 2 0%
#19804 mutagen-io/mutagen/mutagen-edge 2 0%
#19805 d235j/ios-restore-tools/libipatcher 2 0%
#19806 johanvdhaegen/tools/chktex 2 0%
#19807 dlr-ts/sumo/sumo@1.3.1 2 0%
#19808 hiroakit/formula/fbx 2 0%
#19809 symopsio/tap/sym 2 0%
#19810 andresgarcia29/agm/olx 2 0%
#19811 chenrui333/tap/astro-language-server 2 0%
#19812 homebrew/linux-fonts/font-vazirmatn 2 0%
#19813 irt-open-source/nga/libbw64 2 0%
#19814 shivangp76/zathura/synctex 2 0%
#19815 chenrui333/tap/crlfmt 2 0%
#19816 m2700/tap/zephyr-sdk 2 0%
#19817 chenrui333/tap/cueimports 2 0%
#19818 chenrui333/tap/jupytext 2 0%
#19819 madh93/tap/tpm 2 0%
#19820 manelatun/bottles/gawk 2 0%
#19821 octave-app/octave-app/octave@9.1 2 0%
#19822 tavispalmer/kde/kcoreaddons 2 0%
#19823 cxwx/cxbrew/wcstools 2 0%
#19824 oven-sh/bun/bun@1.0.17 2 0%
#19825 goto/taps/optimus-plugins-odpf 2 0%
#19826 gptscript-ai/tap/gptscript-credential-helpers 2 0%
#19827 ericflores108/ericflores108/one-env-cli 2 0%
#19828 divanvisagie/tap/runify 2 0%
#19829 sdball/tap/cattaca 2 0%
#19830 kubesphere/tap/ksbuilder 2 0%
#19831 cowsay-org 2 0%
#19832 camunda-modeler 2 0%
#19833 webmeshproj/repo/webmesh 2 0%
#19834 s2mr/tap/xc 2 0%
#19835 freecad/freecad/pyside2@5.15.15_py312 2 0%
#19836 brewsci/num/brewsci-parmetis 2 0%
#19837 homebrew/linux-fonts/font-terminus 2 0%
#19838 peatearg/sdpctl/sdpctl 2 0%
#19839 eliacereda/ros/boost-python3.11 2 0%
#19840 infisical/get-cli/infisical@0.28.7 2 0%
#19841 abyss/tools/go-awsp 2 0%
#19842 colinshr/core4/exiftool 2 0%
#19843 enciyo/taps/copilotexporter 2 0%
#19844 cxwx/cxbrew/veccore 2 0%
#19845 drewstinnett/taskpoet/taskpoet 2 0%
#19846 dholm/sdr/rtl433 2 0%
#19847 sewaddle540/marimo/marimo 2 0%
#19848 git-sim 2 0%
#19849 cqlabs/dcm/dcm@1.15.1 2 0%
#19850 get-bridge/tap/truss-cli 2 0%
#19851 midkcer 2 0%
#19852 tamada/tap/sibling 2 0%
#19853 danpashin/repo/tbd 2 0%
#19854 elide-dev/elide/elide 2 0%
#19855 petersutter/tap/gardenctl-v2 2 0%
#19856 keith/formulae/clangd 2 0%
#19857 dbt-labs/dbt/dbt-bigquery@1.5.1 2 0%
#19858 szampier/pipelines/esopipe-harps-recipes 2 0%
#19859 bazhenov/tap/ttr 2 0%
#19860 cdenneen/taps/switcher 2 0%
#19861 hitblast/resfetch/resfetch 2 0%
#19862 davidahouse/formulae/xcodebuild-to-md 2 0%
#19863 cestef/tap/papier 2 0%
#19864 saibit-tech/ogc-cli/ogc-cli@0.0.2 2 0%
#19865 brewsci/science/glimmer3 2 0%
#19866 swordfaith/pentest/dnsrecon 2 0%
#19867 faluapp/falu-cli/falu 2 0%
#19868 dbt-labs/dbt/dbt-snowflake@1.2.0 2 0%
#19869 libffado 2 0%
#19870 twilio/brew/twilio@5.19.1 2 0%
#19871 chenrui333/tap/cfnctl 2 0%
#19872 fernandofatech/google-terminal-search/google-terminal-search 2 0%
#19873 chenrui333/tap/rslocal 2 0%
#19874 tomahawk-player/tomahawkqt5/attica 2 0%
#19875 chenrui333/tap/comrak 2 0%
#19876 priyabratamo/taps/tasks 2 0%
#19877 pyama86/ptools/pachanger 2 0%
#19878 andyjv/icu4c-old/icu4c@72.1 2 0%
#19879 funkolab/tap/ikube 2 0%
#19880 dcchambers/tap/notekeeper 2 0%
#19881 ustwo/tools/mastermind 2 0%
#19882 eoin-barr/eoin-barr/weatherme 2 0%
#19883 nnstreamer/neural-network/ssat 2 0%
#19884 remino/remino/rsdeploy 2 0%
#19885 cxwx/cxbrew/cpp-timsort 2 0%
#19886 veerendra2/tap/quotations 2 0%
#19887 handlename/tap/awsc 2 0%
#19888 gruntbatch/gruntbatch/feedline 2 0%
#19889 quackduck/tap/chanch 2 0%
#19890 octave-app/octave-app/qscintilla2-octapp 2 0%
#19891 netj/tap/remocon 2 0%
#19892 gabe565/tap/pwgen-go 2 0%
#19893 wingmans/tap/git-clone-org 2 0%
#19894 io41/repo/rmapi 2 0%
#19895 taostat/tscli/tscli 2 0%
#19896 fahasch/formulae/python-tinyarray 2 0%
#19897 chenrui333/tap/termtunnel 2 0%
#19898 beam-cloud/beam/Beta9 2 0%
#19899 beam-cloud/beam/Beam 2 0%
#19900 imsingee/pnpm/pnpm@7 2 0%
#19901 txtx/txtx/surfpool 2 0%
#19902 lispnik/picolisp/picolisp 2 0%
#19903 python-hatchling 2 0%
#19904 darthpestilane/tap/php@7.4 2 0%
#19905 yamlscript 2 0%
#19906 anhoder/repo/lua@5.1 2 0%
#19907 cosium/vet/vet 2 0%
#19908 oterm 2 0%
#19909 marissamarym/commitm/commitm 2 0%
#19910 sjorek/php/composer1-php82 2 0%
#19911 sidaf/pentest/wpscan 2 0%
#19912 benkim04/attachment-converter/attachment-converter 2 0%
#19913 chenrui333/tap/tpm 2 0%
#19914 chenrui333/tap/pluralith 2 0%
#19915 stackhawk/cli/hawk@3.4.0 2 0%
#19916 brewsci/science/orthofinder 2 0%
#19917 brotherbui/homebrew/mpir 2 0%
#19918 kolja/orca/orca 2 0%
#19919 cikaros/tap/ja-netfilter 2 0%
#19920 coder/aicommit/aicommit 2 0%
#19921 pyama86/ptools/pdr 2 0%
#19922 chenrui333/tap/restish 2 0%
#19923 chenrui333/tap/tenderly 2 0%
#19924 rtx 2 0%
#19925 bartosian/tools/suimon 2 0%
#19926 kanmu/tools/demitas2 2 0%
#19927 rew1l/verstak/verstak 2 0%
#19928 dahyun1008/til-alarm/til-alarm 2 0%
#19929 osx-cross/openocd/openocd 2 0%
#19930 pabloviquez/aws-authenticator/aws-authenticator 2 0%
#19931 saltandpepperstudios/tap/skt 2 0%
#19932 threagile 2 0%
#19933 homebrew/services/phpbrew 2 0%
#19934 aaguirreo/esopipelines/esopipe-sofi-recipes 2 0%
#19935 aaguirreo/esopipelines/esopipe-sofi 2 0%
#19936 chenrui333/tap/alejandra 2 0%
#19937 sebor/tap/passwork-cli 2 0%
#19938 xingrz/vsplugins/lsmashsource 2 0%
#19939 smarter-cli 2 0%
#19940 p-linnane/tap/galah 2 0%
#19941 neved4/tap/apg-go 2 0%
#19942 kreeblah/sdl-tap/sdl_gfx 2 0%
#19943 guardian/devtools/devx-logs 2 0%
#19944 zalt50/core/eblook 2 0%
#19945 anhoder/go-musicfox/spotifox 2 0%
#19946 linqing24/power-checkout/power-checkout 2 0%
#19947 hisbaan/tap/didyoumean 2 0%
#19948 sstadick/hck/hck 2 0%
#19949 macsymbolicator 2 0%
#19950 danielbair/tap/numpy@1.16 2 0%
#19951 xfangfang/wiliwili/libplacebo-wiliwili 2 0%
#19952 xfangfang/wiliwili/libass-wiliwili 2 0%
#19953 shibukk/git-xlsx-textconv/git-xlsx-textconv 2 0%
#19954 nertzy/pivotal-legacy-tap/git-author 2 0%
#19955 yannick-cw/tap/notionfy 2 0%
#19956 aaguirreo/esopipelines/esopipe-naco 2 0%
#19957 front-matter/citeproc/citeproc 2 0%
#19958 yaml/brew/yamlscript 2 0%
#19959 openfga/tap/openfga 2 0%
#19960 chenrui333/tap/lintnet 2 0%
#19961 chenrui333/tap/zware 2 0%
#19962 ivanklee86/tap/argonap 2 0%
#19963 wasmcloud/wasmcloud/wasmcloud 2 0%
#19964 chenrui333/tap/btczee 2 0%
#19965 digitalspacestdio/php/php81-xhprof 2 0%
#19966 chenrui333/tap/poop 2 0%
#19967 jondotsoy/core/spec-md 2 0%
#19968 oven-sh/bun/bun@1.1.32 2 0%
#19969 halmoni100/chong/chong-helper 2 0%
#19970 enigma-game/enigma/enigma-dev-tools 2 0%
#19971 gst-plugins-base 2 0%
#19972 gocruncher/tap/jj 2 0%
#19973 signalwire/signalwire/libyuv 2 0%
#19974 t4d-suites/tools4dev/tools4dev@7.1.0 2 0%
#19975 codef0x/formulae/ffzap 2 0%
#19976 homebrew/linux-fonts/font-noto-serif-cjk-jp 2 0%
#19977 getdozer/dozer/dozer 2 0%
#19978 chenrui333/tap/pike 2 0%
#19979 chenrui333/tap/cf-vault 2 0%
#19980 homebrew/linux-fonts/font-meslo-for-powerlevel10k 2 0%
#19981 chenrui333/tap/glom 2 0%
#19982 alloydb-auth-proxy 2 0%
#19983 defenseunicorns/tap/zarf@0.35.0 2 0%
#19984 filius 2 0%
#19985 szazeski/tap/wifiled 2 0%
#19986 brotherbui/homebrew/setapp 2 0%
#19987 brewsci/bio/blast-legacy 2 0%
#19988 ensembl/external/mcl 2 0%
#19989 fnkr/public/proserver 2 0%
#19990 clintonsteiner/mkdocs-material/mkdocs-material-extensions 2 0%
#19991 davidhoo/jsonparser/jsonparser 2 0%
#19992 dlemper/forensictools/c-aff4 2 0%
#19993 tshu-w/malt/fcitx-remote-for-osx 2 0%
#19994 deus0ww/tap/libmysofa 2 0%
#19995 chenrui333/tap/apkeep 2 0%
#19996 speakeasy-api/tap/speakeasy@1.346.3 2 0%
#19997 devidw/formulae/kleinanzeigen-bot 2 0%
#19998 chenrui333/tap/flowgger 2 0%
#19999 cyberark/tools/secretless-broker 2 0%
#20000 doganarif/giq/giq 2 0%
#20001 jmoney8080/teleport/teleport@16.4 2 0%
#20002 manticoresoftware/manticore/manticore-buddy 2 0%
#20003 cloverhealth/tap/postgis 2 0%
#20004 chenrui333/tap/cmdx 2 0%
#20005 sqlitebrowser/tap/db4sqt@5 2 0%
#20006 rockyluke/devops/gem-puppet-lint 2 0%
#20007 chenrui333/tap/code2prompt 2 0%
#20008 kdab/tap/gammaray-qt5 2 0%
#20009 zahraaalizadeh/tap/cook 2 0%
#20010 golift/mugs/xt 2 0%
#20011 jeebak/personal/advcpmv 2 0%
#20012 iadamcsik/mybrew/gimme-aws-creds@2.7 2 0%
#20013 liangfung/tabby/tabby-rc 2 0%
#20014 bayashi/tap/go-jl 2 0%
#20015 stek29/idevice/libtihmstar-general 2 0%
#20016 ethereumproject/classic/geth 2 0%
#20017 iterm2 2 0%
#20018 gojek/tap/proctor 2 0%
#20019 mdnoga/pentesting/powersploit 2 0%
#20020 chenrui333/tap/token-cli 2 0%
#20021 lifepillar/appleii/diskbrowser 2 0%
#20022 transip/transip/tipctl 2 0%
#20023 linuxbrew/fonts/font-recursive-code 2 0%
#20024 asafdavid23/tap/vpc-cidr-manager 2 0%
#20025 nikolaplejic/emacs-plus/emacs-plus@30 2 0%
#20026 hashicorp/tap/terraform@1.5.3 2 0%
#20027 homebrew/linux-fonts/font-engagement 2 0%
#20028 lromyl/tap/swift-graphql-codegen 2 0%
#20029 akatmks/vapoursynth-plugins/vapoursynth-resize2 2 0%
#20030 nexodus-io/nexodus/nexodus 2 0%
#20031 batect/batect/batect-bash-completion 2 0%
#20032 matfire/matfire/pocketsctl 2 0%
#20033 stack1ng/stack1ng/ftrdevreload-delta-compression 2 0%
#20034 pothosware/pothos/muparserx 2 0%
#20035 himkt/himkt/tips-cli 2 0%
#20036 phrased-org/tap/phrased 2 0%
#20037 chenrui333/tap/leveldb-cli 2 0%
#20038 yarnaid/inshellisense/inshellisense 2 0%
#20039 cuotos/tap/epoch 2 0%
#20040 echawk/tap/sbcl 2 0%
#20041 wang-q/tap/quorum@1.1.2 2 0%
#20042 batmac/tap/ccatos 2 0%
#20043 chenrui333/tap/imgcat 2 0%
#20044 phe-lab/tap/ws 2 0%
#20045 greg674/sysalertd/sysalertd 2 0%
#20046 jonchang/biology/scythe 2 0%
#20047 alphauslabs/tap/iam 2 0%
#20048 onewalker/nginx/nginx-full 2 0%
#20049 chenrui333/tap/ytt 2 0%
#20050 cloudflare/cloudflare/cfssl 2 0%
#20051 itchyny/tap/rassemble 2 0%
#20052 tsukasakop/42tokyo/bash 2 0%
#20053 nicerloop/nicerloop/jpdftweak 2 0%
#20054 marzelwidmer/uzo/uzo 2 0%
#20055 clojure/tools/clojure@1.11.1.1262 2 0%
#20056 altkatz/gcc_cross_compilers/i386-elf-gdb 2 0%
#20057 convco/formulae/convco 2 0%
#20058 bhallalab/moose/moose 2 0%
#20059 astronomer/tap/astro@0.23.2 2 0%
#20060 dave/local/azure-cli 2 0%
#20061 ionos-cloud/internal-tools/pf-tsh 2 0%
#20062 kabel/pecl/php@8.1-memcached 2 0%
#20063 astronomer/tap/astro@0.23.4 2 0%
#20064 winebarrel/cronplan/cronmatch 2 0%
#20065 unbeatable-101/sshwifty/sshwifty 2 0%
#20066 tresio/tools/site-crawler 2 0%
#20067 elwin/tools/table 2 0%
#20068 anarcher/tap/kroller 2 0%
#20069 lgarron/lgarron/spook-sshping 2 0%
#20070 worksome/tap/graphlint 2 0%
#20071 chenrui333/tap/yamlfix 2 0%
#20072 afiller/pathmate/cocoapods@1.11.3 2 0%
#20073 zhongruoyu/portable-ruby-aarch64-linux/m4@1.4 2 0%
#20074 homebrew-zathura/zathura/zathura-cb 2 0%
#20075 littlehorse-enterprises/lh/lhctl@0.12 2 0%
#20076 r4um/homebrew/jmx-dump 2 0%
#20077 rene-dev/sigrok/sigrok-cli 2 0%
#20078 chenrui333/tap/rails-new 2 0%
#20079 drbild/bacnet/bacnet 2 0%
#20080 yugabyte/yugabyte/debezium@2.2.0-1.3.0 2 0%
#20081 hudl/tap/devbox 2 0%
#20082 a2isystems/tools/aws_connect 2 0%
#20083 playcover/playcover/inject 2 0%
#20084 lomotho/taps/n2n 2 0%
#20085 eero-inc/eero/cheerios 2 0%
#20086 hrbrmstr/tap/archinfo 2 0%
#20087 mykso/tap/myks 2 0%
#20088 siryuxuan/automessage/automessage 2 0%
#20089 sampointer/digg/digg 2 0%
#20090 remote-cli/remote/remote 2 0%
#20091 nicholaswilde/tap/cook-docs 2 0%
#20092 petrn/ffmpeg/ffmpeg 2 0%
#20093 clearpathdigital/ee/ee 2 0%
#20094 batterii/haproxy-lua/haproxy 2 0%
#20095 homebrew/linux-fonts/font-lxgw-neozhisong 2 0%
#20096 homebrew/linux-fonts/font-cubic-11 2 0%
#20097 davidius/flashcards/flashcards 2 0%
#20098 koenrh/tools/urldecode 2 0%
#20099 littlehorse-enterprises/lh/lhctl@0.7 2 0%
#20100 merlin32 2 0%
#20101 dpc-sdp/bay-cli/bay-cli 2 0%
#20102 tcarrio/percona-toolkit/percona-toolkit@3.5.5 2 0%
#20103 eupraxialabs/frontier-cli/frontier-cli 2 0%
#20104 sammy007/cryptonight/monero-core 2 0%
#20105 twilio/brew/twilio@5.21.0 2 0%
#20106 gideaworx/tap/terraform-exporter 2 0%
#20107 yext/tap/sud 2 0%
#20108 ruoyutest2021/portable-ruby-aarch64-linux/glibc@2.17 2 0%
#20109 xdg-desktop-portal 2 0%
#20110 fujiwara/tap/grpcp 2 0%
#20111 davidchall/hep/openloops 2 0%
#20112 maxvandijck/tap/frm 2 0%
#20113 richardlehane/digipres/jhove 2 0%
#20114 felixvo/jwtcli/jwtcli 2 0%
#20115 tcarrio/percona-toolkit-mysql-8/percona-toolkit 2 0%
#20116 sjorek/php/composer-php72@25 2 0%
#20117 rioriost/pcypher/pcypher 2 0%
#20118 0risc/tap-test/twc-go 2 0%
#20119 weaveworks/tap/eksctl@0.153.0 2 0%
#20120 naomichi-y/aws-assume-role/aws-assume-role 2 0%
#20121 infisical/get-cli/infisical@0.26.1 2 0%
#20122 clang-format@10 2 0%
#20123 indirect/tap/bpb 2 0%
#20124 cuotos/tap/sts-assume-role-env-vars 2 0%
#20125 wl0ckk/texture-packer/texture-packer 2 0%
#20126 chenrui333/tap/kaluma-cli 2 0%
#20127 gcfflasher 2 0%
#20128 szpadel/raccoon/unison@2.52.0 2 0%
#20129 tanabe5/tap/ash 2 0%
#20130 thecardkid/ttetris/terminally-tetris 2 0%
#20131 xpmxf4/committeams/committeams 2 0%
#20132 lirummirul/pattswift/pattswift 2 0%
#20133 denji/nginx/websockify-nginx-module 2 0%
#20134 denji/nginx/openresty-debug 2 0%
#20135 chenrui333/tap/awk-language-server 2 0%
#20136 chenrui333/tap/fixjson 2 0%
#20137 liujianping/tap/ts 2 0%
#20138 mateimicu/tap/kdiscover 2 0%
#20139 jelmervdl/tools/fmptools 2 0%
#20140 derekselander/funpack/dwatch 2 0%
#20141 atmnk/corr/corr 2 0%
#20142 yuygfgg/vapoursynth/vapoursynth 2 0%
#20143 ximeraproject/xake/xake 2 0%
#20144 tawerna/tap/smc 2 0%
#20145 coin-or-tools/coinor/coin_data_netlib 2 0%
#20146 davep/homebrew/natter 2 0%
#20147 fairrootgroup/fairsoft/asiofi 2 0%
#20148 markdown-server 2 0%
#20149 joelzanden/tap/send 2 0%
#20150 davidperrenoud/nqc/nqc 2 0%
#20151 adamrodger/tap/gctx 2 0%
#20152 kdab/tap/kddockwidgets-qt6 2 0%
#20153 m2700/tap/vexctl 2 0%
#20154 argonautdev/tap/art 2 0%
#20155 gnostr-org/gnostr-org/gnostr-cli 2 0%
#20156 hikoyu/shogi/yaneuraou 2 0%
#20157 gnostr-org/gnostr-org/gnostr-gui 2 0%
#20158 tideways/profiler/tideways-php@8.4 2 0%
#20159 blanboom/mcu-devtools/gcc-arm-none-eabi 2 0%
#20160 kemokemo/tap/gomrepo 2 0%
#20161 aaguirreo/esopipelines/esopipe-molecfit-recipes 2 0%
#20162 apolo96/tap/gitfresh 2 0%
#20163 ensembl/ensembl/aspera-client 2 0%
#20164 psheehan/science/radmc3d 2 0%
#20165 anirudhg07/anirudhg07/bept 2 0%
#20166 brewsci/bio/seqerr 2 0%
#20167 ywl0806/tap/mpm 2 0%
#20168 watawuwu/tap/hb 2 0%
#20169 sparkworx/stuff/msieve 2 0%
#20170 homebrew/linux-fonts/font-sf-mono-nerd-font-ligaturized 2 0%
#20171 patrickdappollonio/tap/tgen 2 0%
#20172 rcmdnk/rcmdnkpac/shell-logger 2 0%
#20173 pixelfs/tap/pixelfs 2 0%
#20174 cin/kubectl-parallel-exec/kubectl-parallel-exec 2 0%
#20175 spotify/spotify/gcs-parquet-cli 2 0%
#20176 seedname/darksuite/darknet-hankai 2 0%
#20177 hetalang/heta-compiler/heta-compiler 2 0%
#20178 homebrew/linux-fonts/font-amaranth 2 0%
#20179 foomo/tap/gotsrpc 2 0%
#20180 homebrew/linux-fonts/font-brawler 2 0%
#20181 brewsci/bio/biointerchange 2 0%
#20182 monea-labs/tap/monea-cli 2 0%
#20183 pico-tts 2 0%
#20184 pthomison/tools/k3auto 2 0%
#20185 smudge/smudge/imagesnap 2 0%
#20186 mopidy/mopidy/mopidy-scrobbler 2 0%
#20187 ebc-2in2crc/tap/pa 2 0%
#20188 rene-dev/sigrok/libsigrok 2 0%
#20189 glib-openssl 2 0%
#20190 chenrui333/tap/statoscope 2 0%
#20191 rust-todo 2 0%
#20192 douglassd/tap/archey@1.6.0 2 0%
#20193 artsy/formulas/elasticsearch@6 2 0%
#20194 chenrui333/tap/todoist 2 0%
#20195 calvin-l/tap/caltac 2 0%
#20196 elioseverojunior/tools/tgenv-manager 2 0%
#20197 plan9port 2 0%
#20198 micktwomey/notions/notions 2 0%
#20199 micke/kubeconsole/kubeconsole 2 0%
#20200 mikegetz/drudge/drudge 2 0%
#20201 chenrui333/tap/qnm 2 0%
#20202 trumpyla/trumpyla/protobuf241 2 0%
#20203 chenrui333/tap/revanced-cli 2 0%
#20204 chenrui333/tap/hostctl 2 0%
#20205 quackduck/tap/aces 2 0%
#20206 zhongruoyu/portable-ruby-aarch64-linux/bison@3.0 2 0%
#20207 laggardkernel/tap/clash-meta-bin 2 0%
#20208 strafe/tap/bunnyfetch 2 0%
#20209 mkmik/lokus/lokus 2 0%
#20210 kevemueller/ksysroot/ksysroot_x86_64-linux-musl 2 0%
#20211 plakar 2 0%
#20212 homebrew/cask/tesseract@4.1.3 2 0%
#20213 jpalardy/tap/memora 2 0%
#20214 lenawil/rommel/wit 2 0%
#20215 anhoder/repo/neovim 2 0%
#20216 hellodeveye/tap/mock-server 2 0%
#20217 manelatun/bottles/ruby-build 2 0%
#20218 sqlitebrowser/tap/db4ssqlcipher 2 0%
#20219 keith/formulae/git-pile 2 0%
#20220 s2ler/emacs-plus/emacs-plus@30 2 0%
#20221 brandonskerritt/rustscan/rustscan 2 0%
#20222 jpmorganio-accelerator/tap/bcl 2 0%
#20223 morikuni/tap/spannerdiff 2 0%
#20224 brooksmtownsend/wasmcloud/wash 2 0%
#20225 kulapard/tap/gol 2 0%
#20226 neogeek/generate-local-changelog/generate-local-changelog 2 0%
#20227 kurtosis-tech/tap/kurtosis-cli@0.89.18 2 0%
#20228 aaguirreo/esopipelines/esopipe-xshoo-recipes 2 0%
#20229 poppler-24 2 0%
#20230 obocat/formulae/gptmergetool 2 0%
#20231 outdooractive/tap/mvt-tools 2 0%
#20232 octave-app/octave-app/octave-octapp 2 0%
#20233 djbender/mysql/mysql@5.7 2 0%
#20234 plentymarkets/plentytools/plenty 2 0%
#20235 patelnav/ctrlspeak/ctrlspeak 2 0%
#20236 fuellabs/fuel-internal/fuel-aws-sso-cli 2 0%
#20237 manelatun/catalina/gcc 2 0%
#20238 punkwalker/tap/karpenter-generate 2 0%
#20239 viamrobotics/brews/cartographer-module 2 0%
#20240 manelatun/catalina/boost 2 0%
#20241 rettier/tap/c 2 0%
#20242 afiller/pathmate/coreutils@9.0 2 0%
#20243 yann-r/canettes/lilypond 2 0%
#20244 jamesdobson/gogitmoji/gogitmoji 2 0%
#20245 ajayreddy-18/tap/solitaire 2 0%
#20246 koh-sh/tap/gha-timegraph 2 0%
#20247 clusterlesshq/tap/subpop 2 0%
#20248 eliacereda/ros/cmake@3.22.1 2 0%
#20249 navi-bot/tap/konfig 2 0%
#20250 manelatun/bottles/rtmpdump 2 0%
#20251 xfangfang/wiliwili/ffmpeg-wiliwili@7 2 0%
#20252 alansune/person/maven@3.6.3 2 0%
#20253 kuzxnia/loadbot/loadbot 2 0%
#20254 tlexcypher/tap/tmux-sessionizer 2 0%
#20255 plusboat/clamp/clamp 2 0%
#20256 kohbis/rslack/rslack 2 0%
#20257 nrser/versions/crystal@0.35 2 0%
#20258 outsinre/wrk2/wrk2 2 0%
#20259 openvirtualcluster/tap/ovc 2 0%
#20260 delph-in/delphin/ace 2 0%
#20261 huyixi/dirscanner/dirscanner 2 0%
#20262 aleksandersh/etcd-tui/etcd-tui 2 0%
#20263 jacobfg/taps-old/link_ssh_auth_sock 2 0%
#20264 cxwx/cxbrew/highfive 2 0%
#20265 fishtown-analytics/dbt/dbt@0.20.1 2 0%
#20266 tshu-w/malt/librime 2 0%
#20267 atchox/yamet/yamet 2 0%
#20268 alexcloudstar/tap/tstpmove 2 0%
#20269 manelatun/catalina/libsodium 2 0%
#20270 danielrosse/env/server-cx 2 0%
#20271 typesense/tap/typesense-server@0.23.1 2 0%
#20272 geeth24/dock/dock 2 0%
#20273 carlocab/personal/pgplot 2 0%
#20274 ipatch/us-05/neopbzx 2 0%
#20275 gnupg@2.0 2 0%
#20276 jcsims/formula/passage 2 0%
#20277 bear10591/tap/ffmpeg-iina 2 0%
#20278 jaysonsantos/tools/csv-query 2 0%
#20279 ghaxz/tap/spotic 2 0%
#20280 tknr/tap/zsign 2 0%
#20281 simplydanny/pass-extensions/pass-report 2 0%
#20282 xwjdsh/tap/fy 2 0%
#20283 puleeno/openlitespeed/lsphp73 2 0%
#20284 aaguirreo/esopipelines/esopipe-vimos 2 0%
#20285 homebrew/linux-fonts/font-monocraft 2 0%
#20286 buddytv/tools/vizio-firmware-sign 2 0%
#20287 ldez/tap/gcg 2 0%
#20288 chenrui333/tap/typioca 2 0%
#20289 z80oolong/tmux/tmux@3.0a 2 0%
#20290 orzgeeker/core/objectcreate3d 2 0%
#20291 corplink-rs 2 0%
#20292 z80oolong/tmux/tmux@3.0 2 0%
#20293 danner/tap/ardour 2 0%
#20294 shopify/private/wave 2 0%
#20295 homebrew/services/leveldb@1.23 2 0%
#20296 dbt-labs/dbt/dbt@0.18.2 2 0%
#20297 bell-sw/liberica/liberica-jdk@22-full 2 0%
#20298 start9labs/sdk/start-cli 2 0%
#20299 wang-q/tap/merquryfk 2 0%
#20300 phschott/phs/dcevm@1.8 2 0%
#20301 buddytv/tools/loki-language-server 2 0%
#20302 dbt-labs/dbt/dbt@0.10.0 2 0%
#20303 matanyall/tap/frobnicate 2 0%
#20304 brewsci/science/methpipe 2 0%
#20305 mangchhe/gossy/gossy 2 0%
#20306 bashta/tap/swiftfilescombiner 2 0%
#20307 ensembl/ensembl/red 2 0%
#20308 sunggun-yu/tap/envp 2 0%
#20309 vklimontovich/aish/aish 2 0%
#20310 ensembl/ensembl/genblast 2 0%
#20311 bashhub-server/latest/bashhub-server 2 0%
#20312 audivir/repo/smc 2 0%
#20313 chenrui333/tap/ips 2 0%
#20314 strikes 2 0%
#20315 chenrui333/tap/algolia 2 0%
#20316 fredowski/pspp/spread-sheet-widget 2 0%
#20317 llvm-hs/llvm/llvm-12 2 0%
#20318 digitalspacestdio/nextgen-devenv/digitalspace-openresty-openssl111 2 0%
#20319 arjancodes/bragir/bragir 2 0%
#20320 ankane/brew/disco 2 0%
#20321 odict/odict/odict 2 0%
#20322 mobile-dev-inc/tap/maestro@1.40-dev.1 2 0%
#20323 root27/tools/filecrypt 2 0%
#20324 merikan/tools/super-hacker 2 0%
#20325 interactsh 2 0%
#20326 kurtosis-tech/tap/kurtosis-cli@0.89.7 2 0%
#20327 pcre++ 2 0%
#20328 redhat-documentation/repo/acorns 2 0%
#20329 opencv410 2 0%
#20330 solr@7.7 2 0%
#20331 bucketplace/platform/mortar@2.4 2 0%
#20332 brewsci/num/brewsci-superlu 2 0%
#20333 brewsci/science/poa 2 0%
#20334 wang-q/tap/intspan 2 0%
#20335 idagelic/tap/daytona 2 0%
#20336 kevemueller/ksysroot/ksysroot_x86_64-freebsd15@15.0-freebsd-current 2 0%
#20337 conductorone/baton/baton-jamf 2 0%
#20338 heuermh/parquet-tools/parquet-tools 2 0%
#20339 aconchillo/guile/guile-colorized 2 0%
#20340 antoniomeireles/virt-manager/osinfo-db-tools 2 0%
#20341 chigraph/kf5/kdevelop 2 0%
#20342 redis@2.8.19 2 0%
#20343 shinokada/cleanit/cleanit 2 0%
#20344 dnicolson/fuse/rclone-mac 2 0%
#20345 exaroth/gonote/gonote 2 0%
#20346 tavispalmer/kde/kcrash 2 0%
#20347 tavispalmer/kde/kbookmarks 2 0%
#20348 kevemueller/ksysroot/ksysroot_x86_64-dragonflybsd 2 0%
#20349 mmpsoftware/ws-cli/ws-cli 2 0%
#20350 amarshall/formula/git-recent-branches 2 0%
#20351 lguanghui/tap/spcreator 2 0%
#20352 piermorel/bgfx/bgfx.cmake 2 0%
#20353 carbonetes/diggity/diggity 2 0%
#20354 danielbair/tap/lxml 2 0%
#20355 brotherbui/homebrew/unrar 2 0%
#20356 kcolorscheme 2 0%
#20357 kylemcb/kross/kross 2 0%
#20358 occ 2 0%
#20359 mkmik/flightclub/flightclub 2 0%
#20360 wim-vdw/todo-cli/todo-cli 2 0%
#20361 kedvall/pysync/pysync 2 0%
#20362 will397/devtools/aws-cli 2 0%
#20363 silas/silas/dot 2 0%
#20364 tao119/addpath/addpath 2 0%
#20365 gyugyu/tap/hugoenv 2 0%
#20366 koki-develop/tap/mingo 2 0%
#20367 yarden-zamir/tap/zsh-act-completion 2 0%
#20368 suzaku/shonenjump/shonenjump 2 0%
#20369 mrfoh/tap/httpprobe 2 0%
#20370 jacobfg/awscreds/xlsxgrep 2 0%
#20371 tombener/tap/pdftk 2 0%
#20372 m2700/tap/kroki-cli 2 0%
#20373 chenrui333/tap/gemini-cli 2 0%
#20374 chenrui333/tap/osmar 2 0%
#20375 coin-or-tools/coinor/coin_data_sample 2 0%
#20376 isometry/tap/bitrat 2 0%
#20377 electric-sql/tap/electric 2 0%
#20378 chenrui333/tap/spotifydl 2 0%
#20379 amir_ps 2 0%
#20380 vpukhanov/tools/glee 2 0%
#20381 chenrui333/tap/kube-role-gen 2 0%
#20382 ahundt/robotics/libnabo 2 0%
#20383 homebrew/linux-fonts/font-recursive-code 2 0%
#20384 0chain/0chain/zbox 2 0%
#20385 connehito/gdp/gdp 2 0%
#20386 caius/tap/safari-webarchiver 2 0%
#20387 ttskch/composer/composer@2.7.5 2 0%
#20388 chiissu/macchiato/sdl3-nightly 2 0%
#20389 kabel/pecl/php@8.1-openswoole 2 0%
#20390 homebrew/linux-fonts/font-delugia-complete 2 0%
#20391 game-porting-toolkit 2 0%
#20392 gyugyu/tap/hugo-build 2 0%
#20393 jkt628/revived/confluent-platform 2 0%
#20394 opencamp-hq/opencamp/opencamp 2 0%
#20395 jerson/tap/screenshot-tools 2 0%
#20396 forensicanalysis/tap/elementary 2 0%
#20397 m2700/tap/pagedjs-cli 2 0%
#20398 idleberg/scummc/scummc 2 0%
#20399 winebarrel/cronplan/cronskd 2 0%
#20400 demola234/tap/flutter_bunny 2 0%
#20401 openmodelica 2 0%
#20402 letsdiscodev/tap/disco-cli 2 0%
#20403 k1low/tap/ghput 2 0%
#20404 rollkit/rollkit/rollkit 2 0%
#20405 kshlm/tap/neovim-bin 2 0%
#20406 hamid914/hiberlite/hiberlite 2 0%
#20407 xchm 2 0%
#20408 dbt-labs/dbt/dbt-postgres@1.3.1 2 0%
#20409 twilio/brew/twilio@5.22.0 2 0%
#20410 jacobfg/awscreds/awscreds 2 0%
#20411 phunkyfish/deluge-meta/deluge-meta 2 0%
#20412 borneodata/tap/borneo-cli 2 0%
#20413 kak-tree-sitter 2 0%
#20414 franferri/cli/fran 2 0%
#20415 chenrui333/tap/neoss 2 0%
#20416 chenrui333/tap/hasha-cli 2 0%
#20417 kabel/pecl/php@8.2-memcached 2 0%
#20418 chenrui333/tap/pyp 2 0%
#20419 libkafe/kafe/libkafe 2 0%
#20420 chenrui333/tap/rang 2 0%
#20421 delphinus/gisp/gisp 2 0%
#20422 tueda/loops/ginac@1.8.7 2 0%
#20423 slewiskelly/tap/ock 2 0%
#20424 nicerloop/nicerloop/scoop 2 0%
#20425 chenrui333/tap/snowflake-cli 2 0%
#20426 chenrui333/tap/precompress 2 0%
#20427 shivammathur/extensions/raphf@7.0 2 0%
#20428 kcl-lang/tap/kcl-lsp@0.10.0 2 0%
#20429 chenrui333/tap/remark-cli 2 0%
#20430 homebrew-zathura/zathura/synctex 2 0%
#20431 optimeeringas/archimedes/arcl 2 0%
#20432 mutagen-io/mutagen/mutagen@0.11 2 0%
#20433 trusty 2 0%
#20434 brewsci/bio/ntjoin 2 0%
#20435 planetscale/tap/ps-http-tap 2 0%
#20436 nimlgen/tinygrad_mockgpu_utils/tinygrad_mockgpu_utils 2 0%
#20437 obverser/zathura/zathura-pdf-poppler 2 0%
#20438 monoganog/pomodoro/pomodoro 2 0%
#20439 jasonrogena/librarian/fs-librarian 2 0%
#20440 chenrui333/tap/mamediff 2 0%
#20441 fidelity-green/fid-dev-ios/fid-dev-ios 2 0%
#20442 cdalvaro/tap/simple-web-server 2 0%
#20443 chenrui333/tap/jsrepo 2 0%
#20444 ctorum/progenitor/progenitor 2 0%
#20445 vantage-sh/clickhouse/clickhouse@24.12 2 0%
#20446 shivammathur/extensions/raphf@8.0 2 0%
#20447 wasilak/tap/elasticsearch-reroute-shards 2 0%
#20448 bvaisvil/zenith/zenith 2 0%
#20449 hybras/tap/svg-term-cli 2 0%
#20450 open-component-model/tap/ocm@0.21.0 2 0%
#20451 espressive/libxmlsec1/libxmlsec1 2 0%
#20452 kevwil/patches/mutt 2 0%
#20453 chenrui333/tap/fortran-linter 2 0%
#20454 kong/konnect-orchestrator/koctl 2 0%
#20455 homebrew-zathura/zathura/zathura-ps 2 0%
#20456 chenrui333/tap/kmon 2 0%
#20457 chenrui333/tap/tfsort 2 0%
#20458 chenrui333/tap/largetifftools 2 0%
#20459 snorremd/tap/process-bonk 2 0%
#20460 nicolasdeory/gpt-term/gpt-term 2 0%
#20461 kanmu/tools/ddcat 2 0%
#20462 manelatun/bottles/pygobject3 2 0%
#20463 chenrui333/tap/blade-formatter 2 0%
#20464 chenrui333/tap/nocc 2 0%
#20465 hmilkovi/tap/tls-bench 2 0%
#20466 aaguirreo/esopipelines/esopipe-kmos-recipes 2 0%
#20467 datomic-pro 2 0%
#20468 ssfa/brew/rb 2 0%
#20469 keyglee/keyglee/dnclist 2 0%
#20470 picnicsupermarket/picnic-cli/picnic-cli 2 0%
#20471 brewsci/bio/orfm 2 0%
#20472 chenrui333/tap/lib-x 2 0%
#20473 rfratto/grafana/alloy 2 0%
#20474 stealthii/bats-core/bats-mock 2 0%
#20475 aserto-dev/tap/check2decision 2 0%
#20476 kateinoigakukun/tap/mull-xctest 2 0%
#20477 suminhong/tap/gohonglab 2 0%
#20478 chenrui333/tap/sql-formatter 2 0%
#20479 uiuclibrary/uiucprescon/tripwire 2 0%
#20480 codefly-dev/cli/codefly 2 0%
#20481 busyloop/tap/envcat 2 0%
#20482 brewsci/science/cmdstan 2 0%
#20483 norminette 2 0%
#20484 chenrui333/tap/mountpoint-s3 2 0%
#20485 chenrui333/tap/dbee 2 0%
#20486 datadog/tap/to-staging 2 0%
#20487 straubt1/straubt1/tfx 2 0%
#20488 valet-sh/core/vsh-geoip 2 0%
#20489 linuxbrew/xorg/libomxil-bellagio 2 0%
#20490 shivammathur/extensions/raphf@7.1 2 0%
#20491 shivammathur/extensions/ast@7.1 2 0%
#20492 kurtosis-tech/tap/kurtosis-cli@1.4.4 2 0%
#20493 langstream/langstream/mini-langstream 2 0%
#20494 manelatun/bottles/graphite2 2 0%
#20495 kmgill/mars-raw-utils/marsrawutils 2 0%
#20496 digitalspacestdio/php/php56-imagick 2 0%
#20497 readdle/readdle/s7 2 0%
#20498 manelatun/bottles/giflib 2 0%
#20499 manelatun/bottles/py3cairo 2 0%
#20500 chenrui333/tap/codstts 2 0%
#20501 chenrui333/tap/otto 2 0%
#20502 homebrew/linux-fonts/font-atkinson-hyperlegible-next 2 0%
#20503 chenrui333/tap/sttr 2 0%
#20504 mrbgems/mrbgem-template/mrbgem-template 2 0%
#20505 omair-inam/tap/craft-to-logseq 2 0%
#20506 torarnv/disclaim/disclaim 2 0%
#20507 reposaur/tap/reposaur 2 0%
#20508 san4op/phpunit/phpunit@7.5 2 0%
#20509 chenrui333/tap/jira-cli 2 0%
#20510 sidaf/pentest/magic_unicorn 2 0%
#20511 chenrui333/tap/ramda-cli 2 0%
#20512 mht208/formal/cpachecker 2 0%
#20513 gohack 2 0%
#20514 jakobs-ledger 2 0%
#20515 smillerdev/tap/php-autoload-psr 2 0%
#20516 chasestubblefield/brew/gzinject 2 0%
#20517 novi/tap/cmysqlmariadb 2 0%
#20518 pulck/mpvdeps/libxscrnsaver 2 0%
#20519 pulck/mpvdeps/libxxf86vm 2 0%
#20520 pulck/mpvdeps/snappy 2 0%
#20521 venafi/tap/vsign 2 0%
#20522 linuxbrew/fonts/font-source-sans-3 2 0%
#20523 aconchillo/guile/haunt 2 0%
#20524 sureshprasannavg/tap/fdk 2 0%
#20525 pmo 2 0%
#20526 davidchall/hep/chaplin 2 0%
#20527 sergioribera/tap/simplemoji 2 0%
#20528 leavec/tap/cursor-s 2 0%
#20529 lechnerc77/rad/rad 2 0%
#20530 extap/chromium/depot_tools 2 0%
#20531 tommy-mor/thrift/thrift@0.18.1 2 0%
#20532 shouduzhanshi/brew/tinytool 2 0%
#20533 keith/formulae/diff-grep 2 0%
#20534 chigraph/kf5/kf5-karchive 2 0%
#20535 beryju/tap/oidc-test-client 2 0%
#20536 koki-develop/tap/ollamit 2 0%
#20537 alvaro-aguirre-cl/esopipelines/cfitsio@4.2.0 2 0%
#20538 missing-tech/toolkit/toolkit 2 0%
#20539 funkygao/stable/federate 2 0%
#20540 ensembl/cask/basic-dependencies 2 0%
#20541 lihaoyun6/tap/nsci 2 0%
#20542 bell-sw/liberica/liberica-jdk@23-full 2 0%
#20543 rrotter/useful/fwknop-client 2 0%
#20544 b-ramsey/kali/acccheck 2 0%
#20545 egison/egison/egison-tutorial 2 0%
#20546 bit3725/guacamole/libvncserver 2 0%
#20547 dbt-labs/dbt/dbt@0.17.1-rc4 2 0%
#20548 moul/moul/number-to-words 2 0%
#20549 bustle/manifolds/manifolds 2 0%
#20550 chenrui333/tap/zmpl 2 0%
#20551 chenrui333/tap/frogmouth 2 0%
#20552 ianmclinden/extras/vasm 2 0%
#20553 hangxie/tap-test/go-parquet-tools 2 0%
#20554 kiwamizamurai/tap/ghif 2 0%
#20555 u-next/de-tools/de-cli-tool 2 0%
#20556 clopenset/harbour/gtkwave 2 0%
#20557 swiftlint@0.53 2 0%
#20558 sjorek/php/composer26-php83 2 0%
#20559 ttrftech/adalm-pluto/libiio 2 0%
#20560 jotadrilo/tap/go-factory 2 0%
#20561 drin/hatchery/duckdb-substrait 2 0%
#20562 d235j/ios-restore-tools/libpatchfinder 2 0%
#20563 recursivetree/xtrackcad/libmxml@3 2 0%
#20564 szampier/pipelines/esopipe-kmos-datastatic 2 0%
#20565 codygarver/core/libvpx 2 0%
#20566 sql-alerts 2 0%
#20567 fs/fstool/fs-tool 2 0%
#20568 hendrikmaus/tap/helm-templexer 2 0%
#20569 shivammathur/extensions/couchbase@7.0 2 0%
#20570 falcosuessgott/tap/kubectl-vault-login 2 0%
#20571 piano1029forks/mynewt/mynewt-newt 2 0%
#20572 asdf-match 2 0%
#20573 chenrui333/tap/fkill-cli 2 0%
#20574 sha1n/tap/bert 2 0%
#20575 freecad/freecad/opencascade@7.6.0 2 0%
#20576 deponian/logalize/logalize 2 0%
#20577 laggardkernel/tap/dnslookup 2 0%
#20578 korbexmachina/tap/go-archive-it 2 0%
#20579 gdisk 2 0%
#20580 ameba 2 0%
#20581 eso/pipelines/esopipe-kmos-demo 2 0%
#20582 eso/pipelines/esopipe-matisse-demo 2 0%
#20583 p3l6/tap/abcm2ps 2 0%
#20584 tchoupinax/brew/iggy 2 0%
#20585 aderuelle/img4tool/img4tool 2 0%
#20586 ankitk-me/tap/zillabase 2 0%
#20587 afeiship/jswork/ffmpeg 2 0%
#20588 reuse-tool 2 0%
#20589 python-networkx 2 0%
#20590 versent/taps/unicreds 2 0%
#20591 kindlyops/tap/supercomm 2 0%
#20592 z80oolong/eaw/ncurses-eaw@6.2 2 0%
#20593 k-nasa/rmemo/rmemo 2 0%
#20594 sl1pkn07/sl1/molten-vk 2 0%
#20595 sdball/tap/what-the-time 2 0%
#20596 a1ecbr0wn/r53-ddns/r53-ddns 2 0%
#20597 absolutejunkyarddog/tap/postgresql@14 2 0%
#20598 nativeos/i386-elf-toolchain/i386-elf-binutils@2.36.1 2 0%
#20599 canhth/linkmapanalyzer/linkmapanalyzer 2 0%
#20600 putmail-queue 2 0%
#20601 brewsci/science/parallel-netcdf 2 0%
#20602 cartavis/tap/fits2idia 2 0%
#20603 pmrowla/tap/rsync 2 0%
#20604 frain-dev/tools/convoy-cli 2 0%
#20605 mbwilding/neospleen/neospleen-nerd-font 2 0%
#20606 cdr/coder/coder 2 0%
#20607 muterlocal2 2 0%
#20608 jackitaliano/tap/oait 2 0%
#20609 infisical/get-cli/infisical@0.26.3 2 0%
#20610 cloudquery/tap/cloudquery@5.5.7 2 0%
#20611 simoleone/tap/git-coauthor 2 0%
#20612 zeroc-ice/tap/berkeley-db@5.3 2 0%
#20613 gengxiankun/tap/ssh-am 2 0%
#20614 microsoft/mssql-release/msodbcsql17@17.10.1.1 2 0%
#20615 homebrew/cask/yarn@1.22.19 2 0%
#20616 miloas/tv/tv 2 0%
#20617 sampointer/digaws/digaws 2 0%
#20618 triggermail/tap/argo-generator 2 0%
#20619 zhongruoyu/test/trurl 2 0%
#20620 jhbabon/tap/scout 2 0%
#20621 vend/private/configgy 2 0%
#20622 llvm-hs/llvm/llvm-5.0 2 0%
#20623 kwabenantim/chaste/hdf5-mpi@1.12 2 0%
#20624 hbmartin/objective/objective 2 0%
#20625 bartvdbraak/keyweave/keyweave 2 0%
#20626 narrowlink/narrowlink/narrowlink-client 2 0%
#20627 phette23/local/openssl@1.0 2 0%
#20628 stanyzra/env-manager-v2/env-manager-v2 2 0%
#20629 chenrui333/tap/diagram 2 0%
#20630 trustpilot/tools/tpgha 2 0%
#20631 foomo/gotsrpc/gotsrpc 2 0%
#20632 hazelcast/hz/hazelcast-enterprise@5.5.0 2 0%
#20633 chenrui333/tap/protolock 2 0%
#20634 chenrui333/tap/docker-debug 2 0%
#20635 cqlabs/dcm/dcm@1.16.0 2 0%
#20636 chenrui333/tap/perfops 2 0%
#20637 chenrui333/tap/jenkins-cli 2 0%
#20638 wizcli 2 0%
#20639 ryotaroseto/tap/goup 2 0%
#20640 eblot/armeabi/arm-none-eabi-llvm 2 0%
#20641 kwabenantim/chaste/xsd 2 0%
#20642 skynet-core/skynet/pocl@6.0 2 0%
#20643 a2isystems/tools/aws_toolbox 2 0%
#20644 mr5356/brew/wtf 2 0%
#20645 nwithan8/tap/ntfy 2 0%
#20646 clojure/tools/clojure@1.11.1.1413 2 0%
#20647 kwabenantim/chaste/xerces-c 2 0%
#20648 sqlitebrowser/tap/sqlb-sqlcipher 2 0%
#20649 spectralops/tap/keyscope 2 0%
#20650 hudl/tap/hinfer 2 0%
#20651 lucagrulla/cw/cw 2 0%
#20652 homebrew/linux-fonts/font-ark-pixel-12px-proportional 2 0%
#20653 levitatingpineapple/formulae/yt-rss 2 0%
#20654 homebrew/linux-fonts/font-ark-pixel-10px-monospaced 2 0%
#20655 robintournemenne/matreplab/matreplab 2 0%
#20656 dropsite-ai/tap/mdcopy 2 0%
#20657 osama-inayat/sphinx-supported-formula/sphinx@2.2.11 2 0%
#20658 flatlooker/custom-formulas/postgresql 2 0%
#20659 prashannar/jhilke/jhilke 2 0%
#20660 uptech/oss/alt 2 0%
#20661 chr-fritz/tap/knx-exporter 2 0%
#20662 lifepillar/appleii/pom1 2 0%
#20663 mtg/essentia/libcython@0.29.30 2 0%
#20664 olleolleolle/adr-tools/adr_tools 2 0%
#20665 orcasecurity/orca-cli-tools/orca-codeowners 2 0%
#20666 nicerloop/nicerloop/pdfbox 2 0%
#20667 greggannicott/tools/tmarks 2 0%
#20668 mavryk-network/mavryk-packaging-rc/mavryk-node 2 0%
#20669 nicerloop/nicerloop/git-credential-keepassxc 2 0%
#20670 sjorek/php/composer1-php81 2 0%
#20671 marcqualie/nginx/rtmp-nginx-module 2 0%
#20672 satosystems/tap/macrm 2 0%
#20673 nacos 2 0%
#20674 jacobfg/awscreds/awsudo 2 0%
#20675 artemnovichkov/projects/fastfood 2 0%
#20676 lunalice/custom-tap/ecschedule@0.10.3 2 0%
#20677 goto/tap/compass 2 0%
#20678 astronomer/tap/astro@1.33.1 2 0%
#20679 absolutejunkyarddog/postgresql/postgresql@17 2 0%
#20680 hokita/tap/turtle 2 0%
#20681 igtm/tap/openapi-yup-generator 2 0%
#20682 jrivers-iclass/rust-aws-sso-tui/aws-sso 2 0%
#20683 manelatun/bottles/node@20 2 0%
#20684 pinpayments/tap/elasticsearch@5 2 0%
#20685 clifton 2 0%
#20686 eclipse-zenoh/zenoh/zenoh-plugin-webserver 2 0%
#20687 kc-2001ms/formula-list/sclt 2 0%
#20688 shivammathur/extensions/protobuf@7.3 2 0%
#20689 homebrew/services/icu4c@71.1 2 0%
#20690 ttrftech/librevna/librevna 2 0%
#20691 winebarrel/json2hcl/json2hcl 2 0%
#20692 tu 2 0%
#20693 shopify/shopify/shopify-graphicsmagick 2 0%
#20694 santiago-labs/telophasecli/telophasecli 2 0%
#20695 sidaf/pentest/ssl-cipher-suite-enum 2 0%
#20696 puleeno/openlitespeed/lsphp56 2 0%
#20697 homebrew/cask-versions/elixir@1.12.2 2 0%
#20698 jmpgplot 2 0%
#20699 evacaro20/gailbotplugins/gailbotplugins 2 0%
#20700 zjhmale/marketstore/marketstore 2 0%
#20701 striker2k18/virt-manager-virt-viewer/virt-viewer 2 0%
#20702 chickenzord/tap/ksw 2 0%
#20703 finfet/kestrel/kestrel-cli 2 0%
#20704 customerio/tap/libfdb 2 0%
#20705 microsoft/mssql-release/msodbcsql17@17.10.5.1 2 0%
#20706 procps@3 2 0%
#20707 ezchi/test-tab-new/gothanks 2 0%
#20708 sachaos/tap/viddy 2 0%
#20709 instruqt/tap/instruqt 2 0%
#20710 edens-angel/flow-cli/flow 2 0%
#20711 menci/libvirt-m1/virt-viewer 2 0%
#20712 jacobfg/awscreds/okta-gpg-creds 2 0%
#20713 sdl_bgi 2 0%
#20714 lcfd/trak/trak 2 0%
#20715 mn-dimension/brew/leinexec 2 0%
#20716 colinshr/core2/ffmpeg 2 0%
#20717 halmoni100/chong/chong-credentials 2 0%
#20718 nerandell/adb/adb-wifi 2 0%
#20719 easytocloud/tap/codecommit-utils 2 0%
#20720 webhookrelay/tap/relay 2 0%
#20721 onfocusio/libs/rocksdb 2 0%
#20722 moz-sec/tap/unicom 2 0%
#20723 brewsci/science/pilercr 2 0%
#20724 astronomer/tap/astro@1.10.0 2 0%
#20725 szampier/pipelines/esopipe-fors-datastatic 2 0%
#20726 thejawker/tappen/rennen 2 0%
#20727 caixw/brew/apidoc 2 0%
#20728 robotlocomotion/director/clang-format@9 2 0%
#20729 gadomski/gadomski/fgt 2 0%
#20730 kyoshidajp/tosa/tosa 2 0%
#20731 codekitchen/dinghy/unfs3 2 0%
#20732 shivammathur/extensions/raphf@7.3 2 0%
#20733 shivammathur/extensions/raphf@5.6 2 0%
#20734 shivammathur/extensions/propro@5.6 2 0%
#20735 dbt-labs/dbt/dbt@0.18.1 2 0%
#20736 mmcquillan/tools/protocli 2 0%
#20737 mluders/brew/smartfix 2 0%
#20738 carlocab/personal/bdw-gc@8.2.8 2 0%
#20739 purpleclay/tap/dns53 2 0%
#20740 mobile-dev-inc/tap/maestro@1.39-dev.1 2 0%
#20741 dapr/tap/dapr-cli@1.13.0-rc.1 2 0%
#20742 ohsu-comp-bio/formula/funnel@0.10 2 0%
#20743 pocketenv-io/tap/pocketenv 2 0%
#20744 rsvihladremio/ssdownloader/ssdownloader 2 0%
#20745 david0/audio/lmms 2 0%
#20746 hisasann/ide/ide 2 0%
#20747 tfcollins/formulae/gr-iio 2 0%
#20748 stefanocoretta/smallcaps/co 2 0%
#20749 onefootprint/tap/footprint-dr 2 0%
#20750 manelatun/bottles/make 2 0%
#20751 hlrs-vis/tap/openinventor 2 0%
#20752 redox-os/gcc_cross_compilers/arm-elf-binutils 2 0%
#20753 akatmks/vapoursynth-plugins/vapoursynth-lsmas-ffmpeg-6 2 0%
#20754 kyoto-kanko/task-piggy/task_piggy 2 0%
#20755 simonmittag/cli/puri 2 0%
#20756 blacktop/tap/vm-proxy 2 0%
#20757 gf_svn 2 0%
#20758 chrisfu/tap/proxmark3 2 0%
#20759 eknowles/tools/tile-packer 2 0%
#20760 slugiscool99/ask/ask 2 0%
#20761 gromgit/core-mojave/z3 2 0%
#20762 malleefoul/etc/poppler-qt6 2 0%
#20763 dima424658/tap/tarantool@2.11 2 0%
#20764 replicahq/overrides/uv 2 0%
#20765 openssl@1.0 2 0%
#20766 alfhh/tap/git-genie 2 0%
#20767 homebrew/cask-versions/sst@0.0.468 2 0%
#20768 svpteam/mpv/mpv-build 2 0%
#20769 ruoyutest2021/portable-ruby-aarch64-linux/linux-headers@4.4 2 0%
#20770 what-the-fuzz 2 0%
#20771 cfergeau/crc/crc 2 0%
#20772 nsec 2 0%
#20773 redspot/custom/pv@1.8.5 2 0%
#20774 lief 2 0%
#20775 denji/nginx/vod-nginx-module 2 0%
#20776 bramstein/webfonttools/ots 2 0%
#20777 shivammathur/extensions/mailparse@7.1 2 0%
#20778 sidneys/homebrew/libalac 2 0%
#20779 keith/formulae/swiftpm-linux-cross 2 0%
#20780 launchdarkly/tap/ld-find-code-refs 2 0%
#20781 cloudquery/tap/cloudquery@6.12.7 2 0%
#20782 jacktabscode/tap/asphalt 2 0%
#20783 pcarrier/brew/gauth 2 0%
#20784 shivangp76/zathura/girara 2 0%
#20785 libadwaita-dummy 2 0%
#20786 neved4/tap/center 2 0%
#20787 aaguirreo/esopipelines/esopipe-vimos-recipes 2 0%
#20788 archdvx/dxsolutions/aria2-withlib 2 0%
#20789 kolarski/s-screen/s-screen 2 0%
#20790 dbt-labs/dbt/dbt@0.21.0 2 0%
#20791 nonfx/starkit/starkit 2 0%
#20792 fahasch/formulae/libxt 2 0%
#20793 clopenset/greet/greet 2 0%
#20794 baysonfox/vsplugins/vsp-addgrain 2 0%
#20795 jphastings/tools/jpegli 2 0%
#20796 codygarver/core/x265 2 0%
#20797 qrivi/tap/macicon 2 0%
#20798 nightscape/tap/ik_llama.cpp 2 0%
#20799 kevemueller/ksysroot/ksysroot_x86_64-linux-gnu 2 0%
#20800 szampier/pipelines/esopipe-xshoo 2 0%
#20801 oven-sh/bun/bun@1.0.11 2 0%
#20802 dylanplayer/devtools/quick 2 0%
#20803 kreeblah/sdl-tap/sdl_ttf 2 0%
#20804 aide-qc/deploy/xacc 2 0%
#20805 petere/sgml/docbook-dsssl 2 0%
#20806 yutanagano/tap/nearust 2 0%
#20807 songmu/tap/podbard 2 0%
#20808 idevicerestore 2 0%
#20809 edwarddes/ffmpeg/ffmpeg@3.4 2 0%
#20810 caiotavares/emv/emv 2 0%
#20811 memtier_benchmark 2 0%
#20812 oven-sh/bun/bun@1.0.12 2 0%
#20813 szampier/pipelines/esopipe-iiinstrument-recipes 2 0%
#20814 bazelbuild/tap/bazel@4.0.0 2 0%
#20815 santhosh-chinnasamy/cliq/cliq 2 0%
#20816 coursera/devenv/scalafmt 2 0%
#20817 gdanko/homebrew/free 2 0%
#20818 oasdiff/oasdiff/oasdiff 2 0%
#20819 oven-sh/bun/bun@1.0.20 2 0%
#20820 nightscape/tap/kanata 2 0%
#20821 thanhken/homebrew/zalo-login-item-remover 2 0%
#20822 pthariensflame/assorted/hayabusa 2 0%
#20823 palmdalian/mytaps/coal 2 0%
#20824 rene-dev/sigrok/pulseview 2 0%
#20825 chenrui333/tap/jsonl-graph 2 0%
#20826 mysql@5.7.32 2 0%
#20827 klaytn/klaytn/solidity@0.5.6 2 0%
#20828 homebrew/linux-fonts/font-source-code-pro-for-powerline 2 0%
#20829 lakekeeper 2 0%
#20830 alhadis/troff/pikchr 2 0%
#20831 christopherthielen/tag-ag/tag-ag 2 0%
#20832 rawnly/tap/downloader 2 0%
#20833 jeroenknoops/tap/gitin 2 0%
#20834 numary/tap/numary 2 0%
#20835 cppfw/tap/prorab-extra 2 0%
#20836 keyglee/keyglee/dnclistsearch 2 0%
#20837 glepnir/iswitch/iswitch 2 0%
#20838 matfire/matfire/pockets 2 0%
#20839 sighupio/furyagent/furyagent 2 0%
#20840 willmac16/oss-fpga/project-trellis 2 0%
#20841 norsedreki/tap/dogcat 2 0%
#20842 libxml2@2.11.7 2 0%
#20843 weaveworks/tap/eksctl@0.194.0 2 0%
#20844 gildas/tap/lv 2 0%
#20845 silinternational/tap/ecs-deploy 2 0%
#20846 spandigital/tap/presidium 2 0%
#20847 akillot/multiclia/multiclia 2 0%
#20848 thecasualcoder/stable/pg-ping 2 0%
#20849 knative-sandbox/kn-plugins/source-kamelet 2 0%
#20850 clojure/tools/clojure@1.12.0.1517 2 0%
#20851 x1nchen/tap/portainer-cli 2 0%
#20852 chenrui333/tap/hclq 2 0%
#20853 customink/puma/puma-dev 2 0%
#20854 z80oolong/eaw/rxvt-unicode@9.31 2 0%
#20855 inigolabs/tap/revgen 2 0%
#20856 yugabyte/tap/yb-voyager@1.8.4 2 0%
#20857 tree-software-company/dml/dml 2 0%
#20858 paulrosania/command-home/picoleaf 2 0%
#20859 hedzr/brew/faker 2 0%
#20860 mongodb/brew/mongodb-mongocryptd@7.0 2 0%
#20861 chenrui333/tap/krs 2 0%
#20862 appsody/appsody/appsody 2 0%
#20863 chenrui333/tap/blush 2 0%
#20864 curt-hash/mkvbot/mkvbot 2 0%
#20865 tombell/formulae/migrate 2 0%
#20866 cxwx/cxbrew/libhv 2 0%
#20867 ska-sa/tap/sofa 2 0%
#20868 waynezhang/tap/tskks 2 0%
#20869 rkabrick/sst/sst@14.1.0 2 0%
#20870 venafi/tap/vssh 2 0%
#20871 noe-p/taps/optimize 2 0%
#20872 dotzenith/tap/zendns 2 0%
#20873 chenrui333/tap/git-chglog 2 0%
#20874 xwmx/taps/shell-app 2 0%
#20875 andrei-don/tap/multi-k8s 2 0%
#20876 gplassard/packages/jaw 2 0%
#20877 eliacereda/ros/opencv@4-3.11 2 0%
#20878 bios-marcel/cordless/cordless 2 0%
#20879 startergo/qemu-virgl/virglrenderer 2 0%
#20880 knakayama/kbtit/kbtit 2 0%
#20881 macvip/mips-sde-elf/mips-sde-elf-gcc 2 0%
#20882 robotastic/hackrf/gr-baz 2 0%
#20883 szampier/pipelines/esopipe-cr2re-recipes 2 0%
#20884 brewsci/bio/xmatchview 2 0%
#20885 daxartio/tap/kdbx 2 0%
#20886 manticoresoftware/tap-dev/manticore-executor-dev 2 0%
#20887 doodlescheduling/tfxunpack/tfxunpack 2 0%
#20888 chasestubblefield/brew/hactool 2 0%
#20889 anzx/deliveryeng/envelope@0.307.0 2 0%
#20890 sv99/sv99/fb2converter 2 0%
#20891 selimsandal/selimsandal/verilator 2 0%
#20892 sjorek/php/composer27-php80 2 0%
#20893 yalespinup/tools/spinup 2 0%
#20894 sjorek/php/composer-php74@22 2 0%
#20895 getinstachip/vpm/vpm 2 0%
#20896 chef/chefops-tools/aws-mfa 2 0%
#20897 marijnkoesen/kubeconnect/kubeconnect 2 0%
#20898 eugmes/oss-fpga/project-trellis 2 0%
#20899 taclane/sdr/paho-mqtt-c 2 0%
#20900 mia-platform/tap/mlp 2 0%
#20901 jfb3615/repo/soqt-bb 2 0%
#20902 craftamap/tap/pluploader 2 0%
#20903 shivammathur/extensions/zmq@7.1 2 0%
#20904 shivammathur/extensions/phalcon4@7.2 2 0%
#20905 tristansch1/flow/flow-tracker 2 0%
#20906 evnp/tmex/tmex 2 0%
#20907 hazelcast/hz/hazelcast@5.1.5 2 0%
#20908 nimbox/public/conx 2 0%
#20909 chenrui333/tap/horusec 2 0%
#20910 aconchillo/guile/guile-opengl 2 0%
#20911 chenrui333/tap/pg-activity 2 0%
#20912 chenrui333/tap/dblab 2 0%
#20913 npwolf/brew/openresty 2 0%
#20914 drhyde/drhyde/cowsay 2 0%
#20915 rcmdnk/rcmdnkpac/smenu 2 0%
#20916 dmlane/tap/dml-pdfmerge 2 0%
#20917 sidaf/pentest/libnl 2 0%
#20918 oven-sh/bun/bun@1.1.37 2 0%
#20919 gmerlino/riscv/riscv-tools 2 0%
#20920 depop/tools/depop-ios-cli 2 0%
#20921 chenhunghan/formulae/oxpilot 2 0%
#20922 lucydodo/tap/db4subsqlcipher 2 0%
#20923 szampier/pipelines/cfitsio@4.2.0 2 0%
#20924 dutchcoders/xmlgen/xmlgen 2 0%
#20925 lucydodo/tap/db4subsqlcipher-x86_64 2 0%
#20926 brewsci/bio/ale 2 0%
#20927 custom-shopify 2 0%
#20928 brewsci/science/nccmp 2 0%
#20929 paystackhq/xterrafile/xterrafile 2 0%
#20930 freecad/freecad/shiboken2@5.15.15_py312 2 0%
#20931 nekrassov01/tap/llcm 2 0%
#20932 chenrui333/tap/twiggy 2 0%
#20933 brewsci/bio/rails 2 0%
#20934 leetsecure/tap/qryptic 2 0%
#20935 homebrew/bundle/ffmpeg@7.0.2 2 0%
#20936 koriym/extensions/ddtrace@8.1 2 0%
#20937 homebrew/linux-fonts/font-antic-slab 2 0%
#20938 conductorone/baton/baton-1password 2 0%
#20939 puppetlabs/puppet/wash 2 0%
#20940 wasistlos 2 0%
#20941 homebrew/linux-fonts/font-antic 2 0%
#20942 gmerlino/riscv/riscv-gnu-toolchain 2 0%
#20943 homebrew/linux-fonts/font-abhaya-libre 2 0%
#20944 mfschumann/tap/resticprofile 2 0%
#20945 thoughtrealm/solutions/bumblebee 2 0%
#20946 square/formula/simba 2 0%
#20947 piyush-zlai/thrift/thrift@0.13 2 0%
#20948 leoafarias/fvm/fvm@3.1.5 2 0%
#20949 voriteam/voripos/voripos-internet-sharing 2 0%
#20950 batect/batect/batect-fish-completion 2 0%
#20951 kouzoh/merknife/merknife 2 0%
#20952 dainiusjocas/brew/lmgrep 2 0%
#20953 tomtom-international/ttxcmetrics/ttxcmetrics 2 0%
#20954 zzhou612/jos/i386-jos-elf-binutils 2 0%
#20955 lifepillar/appleii/epple2 2 0%
#20956 will397/devtools/argocd 2 0%
#20957 lentidas/tap/hledger-price-tracker 2 0%
#20958 tornupnegatives/tap/tmsexpress 2 0%
#20959 mohamedkari/lfs-dal/lfs-dal 2 0%
#20960 cqlabs/dcm/dcm@1.20.0 2 0%
#20961 fatzebra/homebrew/opensearch@1.3 2 0%
#20962 amtk 2 0%
#20963 ahmedsaheed/tally/tally 2 0%
#20964 llvm-hs/llvm/llvm-10 2 0%
#20965 rollwagen/tap/bods 2 0%
#20966 sdm 2 0%
#20967 digzyme/third-party-tools/spades@3.14 2 0%
#20968 koriym/mpr/mpr 2 0%
#20969 homebrew/cask/libomp@11.1 2 0%
#20970 dbt-labs/dbt/dbt@0.19.1 2 0%
#20971 kabel-salat/qt62lts/qtquick3d@6.2.9 2 0%
#20972 golift/mugs/secspy 2 0%
#20973 maztheman/imcommander/imcommander 2 0%
#20974 vetlewi/formula/talys@1 2 0%
#20975 aws/tap/codecatalyst-runner-cli 2 0%
#20976 denji/nginx/captcha-nginx-module 2 0%
#20977 hazelcast/hz/hazelcast@5.3.8 2 0%
#20978 cxwx/cxbrew/go-enum 2 0%
#20979 jlieow/terraform-manager/terraformx 2 0%
#20980 brewsci/science/simpleitk 2 0%
#20981 tuist/tuist/tuist@4.10.1 2 0%
#20982 gtrrz-victor/test-goreleaser/test-goreleaser 2 0%
#20983 lsp-plugins 2 0%
#20984 chenrui333/tap/tfreveal 2 0%
#20985 ereborstudios/tap/smaug 2 0%
#20986 brewsci/bio/cannoli 2 0%
#20987 ochafik/llama.cpp/clis 2 0%
#20988 bgupta/tap/openssl@1.0 2 0%
#20989 aaguirreo/esopipelines/esopipe-midi-recipes 2 0%
#20990 blogabe/xplanet/imagemagick 2 0%
#20991 boomaga 2 0%
#20992 chenrui333/tap/terrap-cli 2 0%
#20993 manticoresoftware/tap-rc/manticore-buddy 2 0%
#20994 like-engels/tap/amber-bash 2 0%
#20995 nelsonnyan2001/swing-jwt/swing-jwt-helper 2 0%
#20996 5amcurfew/5amcurfew/xtkt 2 0%
#20997 box-builder/box/box 2 0%
#20998 palindrom615/tap/sdkman 2 0%
#20999 makew0rld/tap/merkdir 2 0%
#21000 brewsci/science/lp_solve 2 0%
#21001 emdeevy/cli/wa.scripts 2 0%
#21002 ceejbot/tap/semver-bump 2 0%
#21003 llimllib/whisper/libwhisper 2 0%
#21004 statping-ng/statping-ng/statping 2 0%
#21005 glib@2.74 2 0%
#21006 digitalspacestdio/php/php56-yaml 2 0%
#21007 myple/tap/myple 2 0%
#21008 balliasghar/apps/plex 2 0%
#21009 mindarc/mindarc/mindarc 2 0%
#21010 jftuga/tap/timeit 2 0%
#21011 kurtosis-tech/tap/kurtosis-cli@1.1.0 2 0%
#21012 denji/nginx/stream-lua-nginx-module 2 0%
#21013 macrocosm-os/platform/dust 2 0%
#21014 atlassian/tap/atlassian-plugin-sdk4 2 0%
#21015 dyng/ramen/ramen 2 0%
#21016 khanakia/vercelgate/vercelgate 2 0%
#21017 dima424658/tap/shadowplay 2 0%
#21018 eengl/nceplibs/nceplibs-bacio 2 0%
#21019 oven-sh/bun/bun@1.0.15 2 0%
#21020 homebrew/cask/solidity@0.8.17 2 0%
#21021 tuist/tuist/tuist@3.23.0 2 0%
#21022 jacobfg/taps/mcallist 2 0%
#21023 llvm-hs/llvm/llvm-11 2 0%
#21024 risc-os-open/qt/qt@5.11.2 2 0%
#21025 way-huang/repo/bbdown 2 0%
#21026 amateurmonke/f1-cli-tool/f1-cli-tool 2 0%
#21027 mht208/formal/boolector 2 0%
#21028 infisical/get-cli/infisical@0.26.0 2 0%
#21029 uzimaru0000/tap/oreq 2 0%
#21030 montugroup/formulae/platform-cli 2 0%
#21031 suhailskhan/formulae/lima@1.0-alpha 2 0%
#21032 nicerloop/nicerloop/moproxy 2 0%
#21033 heavywatal/tap/cxxwtl 2 0%
#21034 koki-develop/tap/gofzf 2 0%
#21035 tschoonj/tap/gtkmm-plplot 2 0%
#21036 m2700/tap/dtc 2 0%
#21037 mcs07/cheminformatics/inchi 2 0%
#21038 udyrfrykte/udyrfrykte/make-in-docker 2 0%
#21039 amineabri/ankor/ankor 2 0%
#21040 dbt-labs/dbt/dbt@0.17.0 2 0%
#21041 brewsci/science/pandaseq 2 0%
#21042 dwcaress/mbsystem/mbopenmotif 2 0%
#21043 ryochin/tap/gb 2 0%
#21044 tdsmith/ham/direwolf 2 0%
#21045 jondotsoy/core/uid 2 0%
#21046 scivisum/tap/saltstack 2 0%
#21047 iveney/mocha/realpath 2 0%
#21048 rsvihladremio/tools/gclog 2 0%
#21049 padok-team/tap/yatas 2 0%
#21050 amiaopensource/amiaos/pbprotracktor 2 0%
#21051 aus-der-technik/tap/envcraft 2 0%
#21052 loveholidays/tap/po-test 2 0%
#21053 fishtown-analytics/dbt/dbt@0.16.1 2 0%
#21054 hjr3/curl-hyper/curl-hyper 2 0%
#21055 shobhit-nagpal/freqli/freqli 2 0%
#21056 adipascu/proxmark3/proxmark3 2 0%
#21057 m2700/tap/sbom-composer 2 0%
#21058 m2700/tap/sbom-scorecard 2 0%
#21059 thde/truenas-scale-acme/truenas-scale-acme 2 0%
#21060 maxhill/tap/notes-cli 2 0%
#21061 qtscrcpy 2 0%
#21062 homebrew/cask/mitmproxy 2 0%
#21063 mythkiven/tools/crashdecoder 2 0%
#21064 steffakasid/lc/lc 2 0%
#21065 bemidb 2 0%
#21066 olets/tap/command-execution-timer 2 0%
#21067 loderunner/scrt/scrt 2 0%
#21068 adedayo/tap/sshscan 2 0%
#21069 gidoichi/core/asdf-match 2 0%
#21070 buf@1.31.0 2 0%
#21071 fujiwara/tap/aws-sdk-client-go 2 0%
#21072 dangh/formulae/pbmonitor 2 0%
#21073 chenrui333/tap/keyhunter 2 0%
#21074 framsouza/framsouza/eck-diagnostics-parser 2 0%
#21075 leoafarias/fvm/fvm@3.0.0-beta.5 2 0%
#21076 wtomasini/apptest/apptest 2 0%
#21077 bglezseoane/tap/finder-tags-butler 2 0%
#21078 frisoft/ateam/ateam 2 0%
#21079 homebrew/cask-versions/cocoapods@1.13.0 2 0%
#21080 freshworks-developers/tap/fdk@9.1.0-beta 2 0%
#21081 dima424658/tap/suscp 2 0%
#21082 dima424658/tap/tarantool@1.5 2 0%
#21083 forestvpn/stable/fvpn 2 0%
#21084 mix3/tap/tenco 2 0%
#21085 rumbledb/rumble/rumble 2 0%
#21086 malleefoul/etc/kf6-knotifications 2 0%
#21087 egison/egison/egison 2 0%
#21088 benjamine/tap/zwuush 2 0%
#21089 handofgod94/tap/kubesecret 2 0%
#21090 sdl 2 0%
#21091 homebrew/linux-fonts/font-ibm-plex-serif 2 0%
#21092 abinit/tap/abinit8 2 0%
#21093 gmt@5 2 0%
#21094 stefanoweidmann/stw/wxwidgets 2 0%
#21095 phschott/phs/dcevm@11 2 0%
#21096 gleich/tap/solar 2 0%
#21097 weaveworks/tap/footloose 2 0%
#21098 dimaru/formulae/xczip 2 0%
#21099 cqlabs/dcm/dcm@1.17.0 2 0%
#21100 bric3/tap/async-profiler 2 0%
#21101 adeptlanguage/tap/adept 2 0%
#21102 tuist/tuist/tuist@4.2.4 2 0%
#21103 antibody 2 0%
#21104 kevingong2013/tap/apkgo 2 0%
#21105 masukomi/apps/private_comments 2 0%
#21106 wyattjoh/stable/ydns-updater 2 0%
#21107 brewsci/bio/autoconf@2.13 2 0%
#21108 llvm-hs/llvm/llvm-13 2 0%
#21109 awsteiner/science/o2scl 2 0%
#21110 yugabyte/yugabytedb/ybm 2 0%
#21111 homebrew/linux-fonts/font-iosevka-comfy 2 0%
#21112 wjwwood/zork/zork2 2 0%
#21113 ebu/nga/libadm 2 0%
#21114 txdocs/addon/docs-addon-tools 2 0%
#21115 stilvoid/tools/git-get 2 0%
#21116 stilvoid/tools/shue 2 0%
#21117 ochafik/llama.cpp/llama-cpp 2 0%
#21118 sidneys/homebrew/binutils 2 0%
#21119 brewsci/bio/minia 2 0%
#21120 davidferguson/vobcopy/vobcopy 2 0%
#21121 simonhammes/mcfly-fzf/mcfly-fzf 2 0%
#21122 manga-tui 2 0%
#21123 elastic/tap/metricbeat-full 2 0%
#21124 sidaf/pentest/nfsshell 2 0%
#21125 mcncl/bap/bap 2 0%
#21126 willmac16/oss-fpga/nextpnr-generic 2 0%
#21127 rsds143/sperf/sperf 2 0%
#21128 wbd-streaming/tap/hcitool 2 0%
#21129 kevemueller/ksysroot/ksysroot_x86_64-netbsd 2 0%
#21130 ttskch/composer/composer@2.7.7 2 0%
#21131 berndporr/dsp/iir 2 0%
#21132 morpheus-lab/morpheus-versions/morpheus-develop 2 0%
#21133 gplassard/packages/ssm-env 2 0%
#21134 papucci/hep/thepeg 2 0%
#21135 fmuro/amc/amc-pango 2 0%
#21136 digitalspacestdio/ngdev/digitalspace-traefik@2 2 0%
#21137 efa2d19/tap/bw-guarded 2 0%
#21138 felias-fogg/arduino/avr-gdb 2 0%
#21139 msoap/tools/go-carpet 2 0%
#21140 yodamad/tools/slidesk 2 0%
#21141 huaqo/huaqo/pdfc 2 0%
#21142 archsyscall/fstk/fstk 2 0%
#21143 elastic/tap/filebeat-oss 2 0%
#21144 hauntedhost/exmodhop/exmodhop 2 0%
#21145 yann-r/canettes/eclipse-clp@6 2 0%
#21146 z80oolong/tmux/tmux@3.1 2 0%
#21147 ctlleon/naturalterminal/naturalterminal 2 0%
#21148 z80oolong/tmux/tmux@2.9 2 0%
#21149 kusho-co/kusho-cli-brew/kusho-cli 2 0%
#21150 mikemackintosh/tap/macos-settings 2 0%
#21151 homebrew/cask/swagger-codegen@3.0.36 2 0%
#21152 tox/tox/libtoxcore@0.1 2 0%
#21153 xwmx/taps/search-sh 2 0%
#21154 sveltinio/sveltin/sveltin 2 0%
#21155 carlwr/tap/makewash 2 0%
#21156 blueprint-compiler 2 0%
#21157 the-jinxist/brew-genie/ask-genie 2 0%
#21158 chenrui333/tap/wedl 2 0%
#21159 chenrui333/tap/decompose 2 0%
#21160 chenrui333/tap/narr 2 0%
#21161 kometen/rusty-psql/v0.1.1 2 0%
#21162 bedirhangull/fterm/fterm 2 0%
#21163 onsonr/tap/hway 2 0%
#21164 greatbridf/musl-cross/musl-cross 2 0%
#21165 borispetrianik/noah/noah 2 0%
#21166 retornam/tap/gcrane 2 0%
#21167 z80oolong/tmux/powerline-status@2.8.4 2 0%
#21168 oven-sh/bun/bun@0.8.1 2 0%
#21169 elastic/tap/auditbeat-full 2 0%
#21170 maxim-belkin/xorg/encodings 2 0%
#21171 photonquantum/tap/tmexclude 2 0%
#21172 minio/minio/mc 2 0%
#21173 z80oolong/tmux/tmux@3.1a 2 0%
#21174 homebrew/linux-fonts/font-twitter-color-emoji 2 0%
#21175 dvlpci/qbase/qbase 2 0%
#21176 flexwie/flexwie/ghs 2 0%
#21177 wvteijlingen/tap/localeasy 2 0%
#21178 greymd/tools/tmux-xpanes 2 0%
#21179 jayeliu/taps/clouddrive 2 0%
#21180 perfetto_client 2 0%
#21181 jackitaliano/oait/oait 2 0%
#21182 aaguirreo/esopipelines/esopipe-crires-recipes 2 0%
#21183 aaguirreo/esopipelines/esopipe-isaac-recipes 2 0%
#21184 aaguirreo/esopipelines/esopipe-isaac 2 0%
#21185 aaguirreo/esopipelines/esopipe-midi 2 0%
#21186 kubri/tap/kubri 2 0%
#21187 pvinis/pvinis/colortools 2 0%
#21188 bl4cc4t/vsplugins/vsp-dctfilter 2 0%
#21189 zouchengli/tap/jib-cli 2 0%
#21190 dehydratedpotato/tap/socpwrbud 2 0%
#21191 bdx0/virt-manager/virt-manager 2 0%
#21192 saibit-tech/tap/ogc-cli@0.0.5 2 0%
#21193 freenowtech/cli/git-jira 2 0%
#21194 ecstasoy/scrobbler/scrobbler 2 0%
#21195 tgtakaoka/mspgcc/gcc-msp430 2 0%
#21196 okineadev/dotload/dotload 2 0%
#21197 sharesight/tap/redis@6.2.6 2 0%
#21198 darthpestilane/tap/php@8.0 2 0%
#21199 ngtcp2 2 0%
#21200 datadog/tap/latest-image 2 0%
#21201 fkhadra/cli/caniuse 2 0%
#21202 arc53/docsgpt-cli/docsgpt-cli 2 0%
#21203 heavywatal/tap/pcglite 2 0%
#21204 romankurnovskii/awesome-brew/mongodb-community 2 0%
#21205 bearer/curio/bearer 2 0%
#21206 loft-sh/tap/loft-experimental 2 0%
#21207 devops-kung-fu/tap/hookz 2 0%
#21208 chenrui333/tap/elastop 2 0%
#21209 homebrew/cask-versions/maven@3.6.3 2 0%
#21210 maikelveen/branch/branch 2 0%
#21211 hoverinc/infra/infractl 2 0%
#21212 babelcloud/gru/gbox 2 0%
#21213 jaredh/svg2vd/svg2vd 2 0%
#21214 hazelcast/hz/hazelcast-5.3 2 0%
#21215 hazelcast/hz/hazelcast-management-center@5.3.4 2 0%
#21216 lightspeedretail/jinn/jinn 2 0%
#21217 ordishs/regtest/regtest 2 0%
#21218 szampier/pipelines/erfa 2 0%
#21219 mediaarea/mediaarea/mediaconch-gui 2 0%
#21220 z80oolong/eaw/nano 2 0%
#21221 instantclienttap/instantclient/instantclient-arm64-basiclite 2 0%
#21222 starkandwayne/kubernetes/knctl 2 0%
#21223 homebrew/linux-fonts/font-source-code-pro 2 0%
#21224 koriym/extensions/ddtrace 2 0%
#21225 dbnet-io/dbnet/dbnet 2 0%
#21226 ismaelpadilla/tap/gotorrent 2 0%
#21227 cuotos/tap/gotracks 2 0%
#21228 retsplines/avr/avr-gcc@14 2 0%
#21229 gocardless/taps/crank 2 0%
#21230 verifa/tap/terraplate 2 0%
#21231 niftycorporation/tap/onelogin 2 0%
#21232 sjorek/php/composer1-php83 2 0%
#21233 danpashin/repo/zsign 2 0%
#21234 optimizers/cutest/gsl@1 2 0%
#21235 wix/brew/supraworker 2 0%
#21236 sendgrid/tap/mcurl 2 0%
#21237 pizauth 2 0%
#21238 memphisdev/memphis-cli/memphis-cli 2 0%
#21239 sscherfke/rust-vcprompt/rust-vcprompt 2 0%
#21240 padwasabimasala/bible-ref/bible-ref 2 0%
#21241 celsiusnarhwal/htt/schemarin 2 0%
#21242 tessarin/core/dither 2 0%
#21243 txn2/tap/p3y 2 0%
#21244 z80oolong/eaw/nano@8.2 2 0%
#21245 ernstwi/tap/pocket-tool 2 0%
#21246 norsk-tipping/tap/metagraf 2 0%
#21247 microsoft/mssql-release/mssql-tools18@18.4.1.1 2 0%
#21248 rms1000watt/rms1000watt/kubenukem 2 0%
#21249 alvaro-aguirre-cl/esopipelines/wcslib@7.12 2 0%
#21250 calyptia/tap/calyptia@3.8.0 2 0%
#21251 myi18n 2 0%
#21252 parsanoori/sumo/sumo 2 0%
#21253 osrf/simulation/ignition-cmake0 2 0%
#21254 mayeu/mayeu/beancount-scripts 2 0%
#21255 bazelbuild/tap/bazel@7.1.2 2 0%
#21256 kabel/pecl/php@8.3-amqp 2 0%
#21257 we-dcode/tap/kubetunnel 2 0%
#21258 p1x3l101-10/personal/fjordlauncher-crack 2 0%
#21259 cmaahs/tap/util-scripts 2 0%
#21260 zalt50/core/dovecot 2 0%
#21261 neved4/tap/rust 2 0%
#21262 zhongruoyu/portable-ruby-aarch64-linux/binutils@2.26 2 0%
#21263 golangci-lint@1 2 0%
#21264 tillig/mods/bash 2 0%
#21265 stacc/stacc-cli/stacc-next 2 0%
#21266 danpashin/repo/zim-tools 2 0%
#21267 wballard/instantclient/instantclient-basic 2 0%
#21268 jonesbusy/tap/plugin-modernizer 2 0%
#21269 metaplane/metaplane/metaplane 2 0%
#21270 asleepynerd/tap/yarrharr 2 0%
#21271 mikhoiuo/hackerman/hackerman 2 0%
#21272 dart-lang/dart/dart@3.0.2 2 0%
#21273 maxchuquimia/scripts/colorset 2 0%
#21274 heavywatal/tap/pcg-cpp 2 0%
#21275 nicerloop/nicerloop/icode 2 0%
#21276 mht208/formal/nusmv 2 0%
#21277 reverbdotcom/reverb/sbx 2 0%
#21278 buddytv/tools/loki-vscode-extension 2 0%
#21279 clearcutcoding/tap/aws-developer-assistant 2 0%
#21280 goranb131/ithas-prismafs/prismafs 2 0%
#21281 thiagomg/texted/simplegrep 2 0%
#21282 kak-lsp/kakoune-lsp/kakoune-lsp 2 0%
#21283 homebrew/linux-fonts/font-commit-mono 2 0%
#21284 colinshr/core4/jq 2 0%
#21285 shibme/tap/randgen 2 0%
#21286 arrow2nd/tap/anct 2 0%
#21287 maksim-paskal/tap/go-template 2 0%
#21288 maxfierke/fincher/fincher 2 0%
#21289 joseluisq/paket/paket-bin 2 0%
#21290 cs4alhaider/deploy-hub/deploy-hub 2 0%
#21291 solo-io/tap/squashctl 2 0%
#21292 yakdriver/tap/terraform 2 0%
#21293 mips-linux-gnu-binutils 2 0%
#21294 rcmdnk/rcmdnkpac/gmail_filter_manager 2 0%
#21295 veracode/tap/gen-ir@0.3.11 2 0%
#21296 determinatesystems/riff/riff 2 0%
#21297 hannesbraun/smlsharp/massivethreads 2 0%
#21298 sk1-color-picker 2 0%
#21299 brunobonacci/lazy-tools/one-config 2 0%
#21300 narrowlink/narrowlink/narrowlink-agent 2 0%
#21301 brewsci/science/lumpy-sv 2 0%
#21302 marcinhlybin/docker-env/docker-env 2 0%
#21303 kleopatra 2 0%
#21304 ajaypremshankar/tap/termo 2 0%
#21305 wang-q/tap/fastga 2 0%
#21306 z80oolong/tmux/tmux@2.9a 2 0%
#21307 stchab-curi/local/libxmlsec1@1.2.37 2 0%
#21308 prongbang/formulae/analyticsgen 2 0%
#21309 pgpkg/pgpkg/pgpkg 2 0%
#21310 z80oolong/tmux/tmux@3.1c 2 0%
#21311 z80oolong/tmux/tmux@3.2a 2 0%
#21312 d12frosted/emacs-plus/emacs-plus-test@30 2 0%
#21313 homebrew/cask-versions/vim@8.2.3700 2 0%
#21314 chiissu/macchiato/zls-nominated 2 0%
#21315 nodenv/nodenv/nodenv-update 2 0%
#21316 mvogelgesang/tiny-sh-example/tiny-sh-example 2 0%
#21317 w-mai/cellar/vegravis 2 0%
#21318 z80oolong/fonts/vlgothic 2 0%
#21319 jlentink/aem/aem 2 0%
#21320 z80oolong/fonts/umefont 2 0%
#21321 z80oolong/tmux/got-bin 2 0%
#21322 gyselroth/core/tubectltest 2 0%
#21323 goldenwind/toolkit/toolkit 2 0%
#21324 chenrui333/tap/travelgrunt 2 0%
#21325 alexizzarevalo/mariadb/mariadb@10.3 2 0%
#21326 textql 2 0%
#21327 podcast-dl 2 0%
#21328 funkolab/tap/kube 2 0%
#21329 homebrew/cask/cocoapods@1.11.2 2 0%
#21330 sp1ritcs/tap/clatexmath 2 0%
#21331 accesslint/a11y_agent/a11y_agent 2 0%
#21332 ameb8/clc/clc 2 0%
#21333 jawshooah/pyenv/pyenv-doctor 2 0%
#21334 benesch/os161/sys161 2 0%
#21335 oneshot-uno/tap/oneshot 2 0%
#21336 ksoichiro/export_icons/export_icons 2 0%
#21337 chenrui333/tap/ghalint 2 0%
#21338 chenrui333/tap/optivorbis 2 0%
#21339 frizlab/perso/find-unreferenced-xcode-files 2 0%
#21340 amlal-elmahrouss/mips64/mips64-elf-gcc 2 0%
#21341 sxcar98/repo/singbox 2 0%
#21342 chenrui333/tap/hishtory 2 0%
#21343 big-time-data/dbt-helper/dbt-helper 2 0%
#21344 cartor/devop-splashtop/vlc 2 0%
#21345 bgpkit/tap/asninfo 2 0%
#21346 michaelsousajr/makedir/makedir 2 0%
#21347 bazelbuild/tap/bazel@6.4 2 0%
#21348 smillerdev/tap/decomposer 2 0%
#21349 sfarrens/sf/sfof 2 0%
#21350 amioranza/tools/sm2env 2 0%
#21351 libmba 2 0%
#21352 appleboiy/git-fuzzy/git-fuzzy 2 0%
#21353 koudaiii/tools/kubeps 2 0%
#21354 itchyny/tap/rexdep 2 0%
#21355 cloudquery/tap/cloudquery@3.28.0 2 0%
#21356 dlr-ts/sumo/sumo@1.14.1 2 0%
#21357 robotlocomotion/director/scipy@1.5.4 2 0%
#21358 noborus/tap/ovcs 2 0%
#21359 anhoder/repo/openssl@3 2 0%
#21360 eso/pipelines/fftw@3.3.10 2 0%
#21361 homebrew/linux-fonts/font-georgia 2 0%
#21362 cotramarko/tools/go-releaser-toy-example 2 0%
#21363 chenrui333/tap/sig 2 0%
#21364 dedup 2 0%
#21365 chenrui333/tap/nest-cli 2 0%
#21366 px4/px4/gcc-arm-none-eabi-74 2 0%
#21367 nyuichi/satysfi/satysfi 2 0%
#21368 chenrui333/tap/sherif 2 0%
#21369 akatmks/vsplugins/vapoursynth-lsmas 2 0%
#21370 sventiigi/swiftplaygroundscli/swiftplaygroundscli 2 0%
#21371 olofblomqvist/repo/oddbox 2 0%
#21372 rubikonz/core/cmake@3.25.2 2 0%
#21373 proj@7 2 0%
#21374 chenrui333/tap/nom 2 0%
#21375 chenrui333/tap/humioctl 2 0%
#21376 ncmdump-go 2 0%
#21377 nxtedition/ffmpeg/ffmpeg 2 0%
#21378 cvelib 2 0%
#21379 vaygr/tap/fabric-ai 2 0%
#21380 gumi/tap/secret-env-manager 2 0%
#21381 farhankaz/tap/snapsense 2 0%
#21382 nekrassov01/tap/alpen 2 0%
#21383 davidemarcoli/tools/git-contribution-stats 2 0%
#21384 yoshi389111/apps/git-caesar 2 0%
#21385 manic/kakasi/kakasi 2 0%
#21386 ipmhubio/ipm/ipm 2 0%
#21387 akirakyle/qemu-virgl/virglrenderer 2 0%
#21388 ootrandomizer/tap/armips 2 0%
#21389 purefunctor/emacs-plus/emacs-plus@30 2 0%
#21390 datadog/tap/kubectl-multiexec 2 0%
#21391 code-hex/tap/battery 2 0%
#21392 bonnou-shounen/tap/cityheaven 2 0%
#21393 team-sonique/dev-setup/kubectl-login-plugin 2 0%
#21394 adedayo/tap/checkmate 2 0%
#21395 chenrui333/tap/mln 2 0%
#21396 kabel-salat/qt62lts/qtnetworkauth@6.2.9 2 0%
#21397 chenrui333/tap/infisical 2 0%
#21398 chenrui333/tap/evans 2 0%
#21399 leedm777/asterisk/asterisk 2 0%
#21400 agordon/gordon/liblognorm 2 0%
#21401 chenrui333/tap/llmpeg 2 0%
#21402 jltml/tap/lyricsender 2 0%
#21403 rschmitt/heatseeker/heatseeker 2 0%
#21404 lyft/formulae/xcodegen 2 0%
#21405 neved4/tap/demagnetize 2 0%
#21406 caiowzy/essentials/essentials 2 0%
#21407 m2700/tap/sbom-utility 2 0%
#21408 tavispalmer/kde/kiconthemes 2 0%
#21409 quinn-collins/tap/qcli 2 0%
#21410 ynqa/tap/ktop 2 0%
#21411 mistydemeo/saturn/vcdextract 2 0%
#21412 tbb@2020 2 0%
#21413 clipmaster 2 0%
#21414 pol-cova/goginit/goginit 2 0%
#21415 savesecrets/tap/slv 2 0%
#21416 lowski/tap/contest 2 0%
#21417 san4op/phpunit/phpunit@9.5 2 0%
#21418 slickag/knickknacks/forgejo 2 0%
#21419 hazelcast/hz/hazelcast-management-center@5.2.1 2 0%
#21420 chenrui333/tap/tfcmt 2 0%
#21421 xvfionn/tap/gnupg@2.2.21 2 0%
#21422 chenrui333/tap/tfmv 2 0%
#21423 wxFormBuilder 2 0%
#21424 knutle/knutle/mackup 2 0%
#21425 smallwat3r/scripts/tubestatus 2 0%
#21426 z80oolong/eaw/glibc-ja 2 0%
#21427 neved4/tap/ladybird 2 0%
#21428 robinmin/tap/xally 2 0%
#21429 tk-x11 2 0%
#21430 octave-app/octave-app/octave-octapp@9.1 2 0%
#21431 chenrui333/tap/karmor 2 0%
#21432 jaehong21/tap/hibiscus 2 0%
#21433 vadzimku/gitprep/gitprep 2 0%
#21434 edgedb/tap/gel-cli 2 0%
#21435 niklasbogensperger/zoomdl/zoomdl 2 0%
#21436 tech-thinker/tap/tasks 2 0%
#21437 hrs/docsim/docsim 2 0%
#21438 raevilman/tap/future-commit 2 0%
#21439 farcloser/brews/terminal-notifier 2 0%
#21440 wjwwood/zork/zork1 2 0%
#21441 jhunt/hacks/vex 2 0%
#21442 nitidbit/gpush/gpush@2.0.1 2 0%
#21443 thesaas-company/tap/ci 2 0%
#21444 mrinjamul/main/tasks 2 0%
#21445 clang-format@15 2 0%
#21446 krysia/old-formulas/libomp@16.0.6 2 0%
#21447 biosutilities 2 0%
#21448 gtk4-layer-shell 2 0%
#21449 tavispalmer/kde/kguiaddons 2 0%
#21450 eric9n/tap/ncbi_dl 2 0%
#21451 w-kuipers/alphadb/alphadb 2 0%
#21452 defenseunicorns/tap/zarf@0.33.1 2 0%
#21453 transcental/apple/game-porting-toolkit 2 0%
#21454 samasource/formulas/sama-cli 2 0%
#21455 ghozimahdi/ghozimahdi-cli/gm 2 0%
#21456 markuspunz12/acdislinux/acdisp11manager 2 0%
#21457 norsk-tipping/metagraf/metagraf 2 0%
#21458 eso/pipelines/cfitsio@4.5.0 2 0%
#21459 wycomco/kimai-cli/kimai-cli 2 0%
#21460 hostwithquantum/runway/runway 2 0%
#21461 usingcoding/public/gostore-agent 2 0%
#21462 taclane/sdr/gr-osmosdr 2 0%
#21463 songmu/tap/peep 2 0%
#21464 chenrui333/tap/markpdf 2 0%
#21465 playmer/formulae/xcresultparser@1.6.5 2 0%
#21466 chenrui333/tap/quicssh-rs 2 0%
#21467 petere/icu/icu4c@71 2 0%
#21468 threedify 2 0%
#21469 embik/tap/kubectl-ephemeral 2 0%
#21470 chenrui333/tap/tetrigo 2 0%
#21471 mulle-kybernetik/software/mulle-xcode-settings 2 0%
#21472 check-mk 2 0%
#21473 audiusproject/audius-terminal-player/audius-terminal-player 2 0%
#21474 mirceanton/taps/talswitcher 2 0%
#21475 gobuffalo/tap/buffalo-pop 2 0%
#21476 xingrz/vsplugins/fmtconv 2 0%
#21477 homebrew/cask-versions/cocoapods@1.10.2 2 0%
#21478 kusho-co/kusho-cli/kusho-cli 2 0%
#21479 retr0h/tap/go-gilt 2 0%
#21480 chenrui333/tap/aiac 2 0%
#21481 redhat-gpst/openshift/openshift-client 2 0%
#21482 guojunliu/stskadnetwork/stskadnetwork 2 0%
#21483 infisical/get-cli/infisical@0.22.2 2 0%
#21484 ecofighter/tap/emacs 2 0%
#21485 siketyan/tap/ghr 2 0%
#21486 digitalghost-dev/poke-cli/poke-cli 2 0%
#21487 chenrui333/tap/oxbuild 2 0%
#21488 notnmeyer/daylog-cli/daylog 2 0%
#21489 homebrew/linux-fonts/font-comic-sans-ms 2 0%
#21490 burnt-labs/xion/xiond@11.0.0 2 0%
#21491 itchyny/tap/pihex 2 0%
#21492 kamilturek/tap/go-zpl 2 0%
#21493 movableink/formulas/apache-pulsar 2 0%
#21494 davidchall/hep/ugs 2 0%
#21495 homebrew/linux-fonts/font-ark-pixel-16px-monospaced 2 0%
#21496 binary-refinery 2 0%
#21497 navanchauhan/tap/navan-qt@4 2 0%
#21498 postsa/tap/strut 2 0%
#21499 nickcrews/libpostal/libpostal 2 0%
#21500 taskctl/taskctl/taskctl 2 0%
#21501 manelatun/bottles/curl 2 0%
#21502 nugulinux/sdk/libnugu-epd 2 0%
#21503 touchlab/gitportal/gitportal-rc 2 0%
#21504 yugabyte/yugabytedb/yb-voyager@1.8.9 2 0%
#21505 homebrew/cask-versions/xz@5.2.9 2 0%
#21506 mashiike/tap/prepalert 2 0%
#21507 corvofeng/tap/kubemux 2 0%
#21508 greenlight 2 0%
#21509 pat-s/rcli/rcli 2 0%
#21510 speakeasy-api/tap/speakeasy@1.334.0 2 0%
#21511 odnoletkov/tap/xcodeproj-graph 2 0%
#21512 sjorek/php/composer1-php73 2 0%
#21513 homebrew/cask/macvim@8.2-165 2 0%
#21514 dbt-labs/dbt/dbt-redshift@1.1.0 2 0%
#21515 ricardo-ch/ricardo/rcli 2 0%
#21516 eranif/codelite/libssh096 2 0%
#21517 moonfruit/tap/ugrep-indexer 2 0%
#21518 babashka/brew/bbin@0.1.3 2 0%
#21519 anarcher/tap/kamut 2 0%
#21520 neved4/tap/packer 2 0%
#21521 chenrui333/tap/gommit 2 0%
#21522 denji/nginx/echo-nginx-module 2 0%
#21523 yarden-zamir/tap/wrap 2 0%
#21524 kinoba/dotenv-op/dotenv-op 2 0%
#21525 digitalspacestdio/nextgen-devenv/digitalspace-openresty 2 0%
#21526 buildcache 2 0%
#21527 deliveroo/tools/ssm-saiyan 2 0%
#21528 pachyderm/tap/pachctl@1.7 2 0%
#21529 protoconf/tap/protoconf-terraform 2 0%
#21530 eso/pipelines/esopipe-giraf 2 0%
#21531 mcdallas/summarize/summarize 2 0%
#21532 manelatun/bottles/postgresql@12 2 0%
#21533 adedayo/tap/tcpscan 2 0%
#21534 adikari/taps/safebox 2 0%
#21535 xwjdsh/tap/nba-live 2 0%
#21536 qoarks/qoarks_cli/qoarks_cli 2 0%
#21537 finefindus/tap/artem 2 0%
#21538 homebrew/linux-fonts/font-abel 2 0%
#21539 olets/tap/git-activity 2 0%
#21540 petarpetrovic/sshpass/sshpass 2 0%
#21541 oven-sh/bun/bun@1.1.16 2 0%
#21542 kekcleader/allegro/allegro@5.2.9 2 0%
#21543 jacobfg/taps-old/bash-scripts 2 0%
#21544 wpengine/tools/catalyst 2 0%
#21545 jacobfg/taps-old/gpg-scripts 2 0%
#21546 homebrew/linux-fonts/font-ark-pixel-12px-monospaced 2 0%
#21547 homebrew/linux-fonts/font-anaheim 2 0%
#21548 homebrew/linux-fonts/font-cabin-sketch 2 0%
#21549 0xsqlit/sqlit/sqlit 2 0%
#21550 shopify/shopify/autoconf@2.69 2 0%
#21551 shunirr/dnsproxy/dnsproxy 2 0%
#21552 reiki4040/tap/msk 2 0%
#21553 patrickdappollonio/tap/tabloid 2 0%
#21554 jagot/jagot/hp2xx 2 0%
#21555 sidaf/pentest/jex_boss 2 0%
#21556 keith/formulae/fix-macosx-internal-sdk 2 0%
#21557 tfournier/tap/gvm 2 0%
#21558 gjergj/tap/testmyapp 2 0%
#21559 risor-io/risor/risor 2 0%
#21560 chenrui333/tap/scholar 2 0%
#21561 mudlet/dependencies/lua@5.1 2 0%
#21562 akshaykhairmode/tools/wscli 2 0%
#21563 terryso/autosideloadly/autosideloadly 2 0%
#21564 yann-r/canettes/contacts 2 0%
#21565 songmu/tap/r2sync 2 0%
#21566 homebrew/linux-fonts/font-junicode 2 0%
#21567 petsc-local 2 0%
#21568 callumreid/snek/snek 2 0%
#21569 turing-guild/pkgs/tg-vasm 2 0%
#21570 clojure/tools/clojure@1.10.3.1093 2 0%
#21571 jmoney/server-utils/cidr-encoder 2 0%
#21572 flowscripter/tap/mpeg-sdl-tool 2 0%
#21573 shadyabhi/tap/calsync 2 0%
#21574 ensembl/ensembl/cesar 2 0%
#21575 chaosmonkey 2 0%
#21576 bahdotsh/zp/zp 2 0%
#21577 acl2s/test/test-c-program 2 0%
#21578 satoken/rnatools/centroidfold 2 0%
#21579 skcin7/php/php@7.2 2 0%
#21580 chenrui333/tap/pipeform 2 0%
#21581 alberto97/shaka-packager/packager 2 0%
#21582 justincbagley/piranha/piranha 2 0%
#21583 ansible@2.7 2 0%
#21584 chenrui333/tap/refurb 2 0%
#21585 matusvid/tcreds/tcreds 2 0%
#21586 dotnet/dev-proxy/dev-proxy-beta 2 0%
#21587 fahasch/formulae/libxaw3d 2 0%
#21588 jondotsoy/core/roadmap 2 0%
#21589 tvuotila/libxmlsec1/libxmlsec1 2 0%
#21590 brewsci/bio/sumaclust 2 0%
#21591 turbot/tap/steampipe@0.21.2 2 0%
#21592 j178/tap/github-s3 2 0%
#21593 andrewwillmott/stuff/genie 2 0%
#21594 maven@3.8.8 2 0%
#21595 xctesthtmlreport/xchtmlreport/xchtmlreport@2.2.2 2 0%
#21596 digitalspacestdio/nextgen-devenv/digitalspace-postgresql15 2 0%
#21597 chenrui333/tap/kwt 2 0%
#21598 fishtown-analytics/dbt/dbt-postgres 2 0%
#21599 na-trium-144/webcface/curl 2 0%
#21600 leonvogt/tap/lunar-db 2 0%
#21601 mrinjamul/main/mrinjamulcf-cli 2 0%
#21602 chenrui333/tap/kbst 2 0%
#21603 chenrui333/tap/rustfilt 2 0%
#21604 xhyve 2 0%
#21605 amiaopensource/amiaos/mkvparse 2 0%
#21606 moricho/tparallel/tparallel 2 0%
#21607 kurtosis-tech/tap/kurtosis-cli@0.88.4 2 0%
#21608 udaypatel1/nba/nba 2 0%
#21609 bionicosmos/cross/tun2socks 2 0%
#21610 subverison1.15.x 2 0%
#21611 glibc@2.19 2 0%
#21612 chenrui333/tap/yeetfile 2 0%
#21613 chenrui333/tap/kubeseal-convert 2 0%
#21614 sjorek/php/composer28-php72 2 0%
#21615 danzigergeist/core/go-size-analyzer 1 0%
#21616 homebrew/linux-fonts/font-zain 1 0%
#21617 homebrew/cask-versions/icu4c 1 0%
#21618 ariadne-cps/tap/ariadne 1 0%
#21619 dotenv_linter 1 0%
#21620 neomantra/tap/go-openchargemap 1 0%
#21621 python-botocore 1 0%
#21622 saltandpurple/tools/sealedsecretslave 1 0%
#21623 uhubctl 1 0%
#21624 jihuun/rsdic/rsdic 1 0%
#21625 intuit/cfn-clone/cfn-clone 1 0%
#21626 jozhw/executor/executor 1 0%
#21627 cedana/cedana-cli/cedana-cli 1 0%
#21628 datadog/tap/trigger-ci 1 0%
#21629 jmwoliver/hui/hui 1 0%
#21630 loadjitsuhq/loadjitsu/loadjitsu 1 0%
#21631 sjorek/php/composer24-php80 1 0%
#21632 davep/homebrew/paindrop 1 0%
#21633 xfreebird/utils/bamboo-agent-utility 1 0%
#21634 dpb587/tap/gget 1 0%
#21635 twilio/brew/twilio@5.17.0 1 0%
#21636 oschrenk/made/mission 1 0%
#21637 homebrew/services/postgresql@10.22 1 0%
#21638 hempalex/formula/catdoc 1 0%
#21639 kaandedeoglu/formulae/shark-beta 1 0%
#21640 jacobfg/awscreds/awscreds2 1 0%
#21641 szabado/tools/zkcli 1 0%
#21642 flowerinthenight/tap/lsdy 1 0%
#21643 mikelorant/tap/dog 1 0%
#21644 datadog/tap/devkube 1 0%
#21645 brunoluiz/tap/mermaid-server 1 0%
#21646 datadog/tap/sce 1 0%
#21647 ttskch/composer/composer@2.2.0 1 0%
#21648 mht208/formal/aiger 1 0%
#21649 bfontaine/utils/chromedriver 1 0%
#21650 code-store-platform/brew/codestore 1 0%
#21651 valxntine/valxntine/whattp 1 0%
#21652 catesandrew/tap/libusbmuxd 1 0%
#21653 defenseunicorns/tap/zarf@0.33.0 1 0%
#21654 vend/private/xs 1 0%
#21655 marcelovicentegc/taps/kontrolio 1 0%
#21656 homebrew/cask-versions/curl@7.81.0 1 0%
#21657 digitalspacestdio/php/php82-phalcon 1 0%
#21658 augustdev/splitcsv/splitcsv 1 0%
#21659 superbet-group/betting.platform/lola 1 0%
#21660 fairrootgroup/fairsoft/fairsoft@22.4 1 0%
#21661 jfb3615/repo/simage-bb 1 0%
#21662 swift-psychrometrics/formula/psychrometrics 1 0%
#21663 hempalex/formula/dklab_realsync 1 0%
#21664 thegeorgejoseph/tap/tlf 1 0%
#21665 knative-sandbox/kn-plugins/quickstart@1.11 1 0%
#21666 ttskch/composer/composer@2.7.6 1 0%
#21667 equalsraf/neovim-qt/neovim-qt 1 0%
#21668 gwerbin/tap/neovim-qt 1 0%
#21669 kreeblah/zathura/zathura 1 0%
#21670 arthurpalves/formulae/coherent-swift 1 0%
#21671 datadog/tap/s22-checker 1 0%
#21672 datadog/tap/kubectl-iscale 1 0%
#21673 dbt-labs/dbt/dbt-redshift@1.0.0-b1 1 0%
#21674 brewsci/science/clips 1 0%
#21675 eyevinn/tools/whpp-play 1 0%
#21676 crcrworks/topdf/topdf 1 0%
#21677 winebarrel/docker-credential-ecr-login-env/docker-credential-ecr-login-env 1 0%
#21678 ilyes512/boilr/boilr 1 0%
#21679 aidanaden/tools/schnorr 1 0%
#21680 mongodb/mongodb/mongodb-community@5.0 1 0%
#21681 homebrew/linux-fonts/font-noto-serif-cjk-kr 1 0%
#21682 brewsci/science/ggobi 1 0%
#21683 svpteam/mpv/mpv-arm 1 0%
#21684 bpicode/tap/fritzctl 1 0%
#21685 medium/custom/openresty 1 0%
#21686 brewsci/science/mrfast 1 0%
#21687 amar1729/libguestfs/libguestfs@1.32 1 0%
#21688 yq@3 1 0%
#21689 skuldnorniern/fluere/fluere 1 0%
#21690 denji/nginx/mp4-h264-nginx-module 1 0%
#21691 medium/custom/kubesec 1 0%
#21692 clearcutcoding/tap/docker-compose-aws-runner 1 0%
#21693 percival41/taps/nibtools 1 0%
#21694 jamescun/formulas/httplog 1 0%
#21695 homebrew/cask/fastlane@2.188.0 1 0%
#21696 wess/packages/dwell 1 0%
#21697 muesli/tap/obs-cli 1 0%
#21698 kabel/php-ext/php@8.2-pdo-oci 1 0%
#21699 zgulde/zgulde/cods 1 0%
#21700 brewsci/science/ate-pairing 1 0%
#21701 alphahydrae/tools/tcpwait 1 0%
#21702 stuarthicks/tap/tls_cert_info 1 0%
#21703 sjorek/php/composer1-php72 1 0%
#21704 sjorek/php/composer23-php73 1 0%
#21705 aidanaden/tools/shamir 1 0%
#21706 ehbc-project/toolchain/m68k-unknown-elf-gcc@13 1 0%
#21707 turbot/tap/steampipe@0.22.1 1 0%
#21708 brewsci/science/bact 1 0%
#21709 passgen 1 0%
#21710 argoproj/tap/kubectl-argo-rollouts@1.3 1 0%
#21711 github/bootstrap/elasticsearch@2.4 1 0%
#21712 szampier/pipelines/esopipe-giraf-datastatic 1 0%
#21713 ensembl/ensembl/sonlib 1 0%
#21714 brewsci/science/ckon 1 0%
#21715 easytocloud/tap/zsh_functions 1 0%
#21716 brewsci/science/dgtal 1 0%
#21717 mswieboda/sfml/sfml@2.5 1 0%
#21718 uhg-internal/usp/uspgrok 1 0%
#21719 wang-q/tap/perl@5.22.4 1 0%
#21720 dbt-labs/dbt/dbt@0.14.4 1 0%
#21721 libparserutil 1 0%
#21722 sparkworx/stuff/instantclient-basic 1 0%
#21723 weaveworks/tap/eksctl@0.155.0 1 0%
#21724 weaveworks/tap/eksctl@0.161.0 1 0%
#21725 geant4 1 0%
#21726 eblot/armeabi/armv6m-cortex-m0plus 1 0%
#21727 borneodata/borneodp/borneo-cli 1 0%
#21728 swasey/tap/swi-prolog 1 0%
#21729 identiq-protocol/tap/sesame 1 0%
#21730 nixmade/tap/pippy 1 0%
#21731 echovault/echovault/echovault-cli 1 0%
#21732 redis/riot/riot 1 0%
#21733 libtorch 1 0%
#21734 schemon/hello/hello 1 0%
#21735 epidemicsound/epidemicsound/twirp 1 0%
#21736 colinshr/core2/exiftool 1 0%
#21737 rexx-org/rexx-org/rexxsql-my 1 0%
#21738 conductorone/baton/baton-salesforce 1 0%
#21739 kiendang/formulae/spectre-cli 1 0%
#21740 penguinify/penguinify/mystique-arm64 1 0%
#21741 luanxuechao/tools/qn-decode 1 0%
#21742 dustinblackman/tap/languagetool-code-comments 1 0%
#21743 ikanago/tap/ojisan_fuck 1 0%
#21744 mwelsherbiny/agile-planner/agile_planner 1 0%
#21745 voriteam/voripos/voripos-txn-sync 1 0%
#21746 colinshr/core2/gpac 1 0%
#21747 shivammathur/extensions/propro@7.3 1 0%
#21748 andersjanmyr/tap/route53copy 1 0%
#21749 dlr-ts/sumo/sumo@1.4.0 1 0%
#21750 infisical/get-cli/infisical@0.23.2 1 0%
#21751 jacobfg/awscreds/awsprofile 1 0%
#21752 eznix86/timetrace/timetrace@0.1.0-beta 1 0%
#21753 medium/custom/protobuf@2.6 1 0%
#21754 defenseunicorns/tap/zarf@0.28.3 1 0%
#21755 bbercovici/self/rbk 1 0%
#21756 bbercovici/self/sharmlib 1 0%
#21757 helm@2 1 0%
#21758 danzigergeist/core/lazygit 1 0%
#21759 davidzou/apkinfo/apkinfo 1 0%
#21760 medium/custom/ffmpeg 1 0%
#21761 developest/gtm/gtm 1 0%
#21762 bazelbuild/tap/bazel@4.1.0 1 0%
#21763 ldez/tap/seihon 1 0%
#21764 conductorone/baton/baton-google-workspace 1 0%
#21765 dapr/tap/dapr-cli@1.14.0-rc.1 1 0%
#21766 denji/nginx/mruby-nginx-module 1 0%
#21767 davidfmatheson/tap/sdkman-cli 1 0%
#21768 stenstromen/tap/kubectl-pvcmount 1 0%
#21769 eblot/armeabi/armv7em-cortex-m7f 1 0%
#21770 git-igitt 1 0%
#21771 webispy/sdk/libnugu-extension 1 0%
#21772 webispy/sdk/nugu-dbus-daemon 1 0%
#21773 schemamap/schemamap/schemamap 1 0%
#21774 pachyderm/tap/pachctl@2.0 1 0%
#21775 godns 1 0%
#21776 govwifi/cod/cod-cli 1 0%
#21777 outscale/tap/oapi-cli-git 1 0%
#21778 slassourreuille/schema-registry/schema-registry 1 0%
#21779 alexmyczko/mac/modplug-tools 1 0%
#21780 homebrew/linux-fonts/font-cabin 1 0%
#21781 jnilssonk/magic/signer 1 0%
#21782 microsoft/mssql-release/mssql-tools@17.8.1.1 1 0%
#21783 ttskch/composer/composer@1.0.0-beta2 1 0%
#21784 dbeaver-ce 1 0%
#21785 ironplc/tap/ironplc 1 0%
#21786 infisical/get-cli/infisical@0.14.0 1 0%
#21787 afterpaytouch/platform/kubeup 1 0%
#21788 rpiboot 1 0%
#21789 colinshr/core2/jq 1 0%
#21790 mdpadberg/tap/ka 1 0%
#21791 brejoc/tap/ssht 1 0%
#21792 libpfm 1 0%
#21793 ashisha7i/tap/mgmprops 1 0%
#21794 integrateluis/showfiles/showfiles 1 0%
#21795 homebrew/linux-fonts/font-lxgw-wenkai 1 0%
#21796 homebrew/linux-fonts/font-delugia-powerline 1 0%
#21797 homebrew/linux-fonts/font-lxgw-wenkai-lite 1 0%
#21798 gitguardian/tap/src-fingerprint 1 0%
#21799 gtrak/emacs-plus/emacs-plus-copy@29 1 0%
#21800 cqlabs/dcm/dcm@1.14.0 1 0%
#21801 jplsek/tap/git-mob 1 0%
#21802 conversence/conversencetaps/postgresql_plpy@14 1 0%
#21803 heavywatal/tap/multiz 1 0%
#21804 somallg/emacs-plus/emacs-plus 1 0%
#21805 zelnox/zelnox/postgresql@12.12 1 0%
#21806 saindriches/mpv-iina/davs2 1 0%
#21807 rokt/tap/protoconf 1 0%
#21808 czhu/superbio/r-accelerate 1 0%
#21809 homebrew/linux-fonts/font-jf-open-huninn 1 0%
#21810 committi 1 0%
#21811 soupault 1 0%
#21812 abinit/tap/abinit-modified 1 0%
#21813 stack1ng/stack1ng/ftradd-cert-verif-skip 1 0%
#21814 aconchillo/guile/guile-reader 1 0%
#21815 vapor/tap/vapor 1 0%
#21816 amiaopensource/amiaos/grabbags 1 0%
#21817 kitonk/tap/move2ssh 1 0%
#21818 taiki-e/tap/parse-dockerfile 1 0%
#21819 mattresspadley/tap/bump 1 0%
#21820 swordfaith/pentest/responder 1 0%
#21821 homebrew/linux-fonts/font-stix-two-text 1 0%
#21822 ultrapremiumdirect/upd-brew/php@8.2.19 1 0%
#21823 nejec/libp11/libp11 1 0%
#21824 depop/tools/dpdb 1 0%
#21825 wtomasini/helloworld/helloworld 1 0%
#21826 witx98/test-logchange/logchange 1 0%
#21827 malleefoul/etc/kholidays 1 0%
#21828 sjorek/php/composer-php56@1 1 0%
#21829 sjorek/php/composer-php74@26 1 0%
#21830 klaytn/klaytn/caver-java 1 0%
#21831 rowmur/tap/blobby 1 0%
#21832 merikan/tools/jsonui 1 0%
#21833 noborus/tap/mdviewer 1 0%
#21834 jvanhare/gamma/parmetis-i64-r64 1 0%
#21835 chenrui333/tap/sdl_net 1 0%
#21836 cbackas/tap/m4b-tool 1 0%
#21837 chrihop/gcc_cross_compilers/i686-elf-gcc 1 0%
#21838 paltherr/paltherr/openjfx 1 0%
#21839 dosaki/sqlow/sqlow 1 0%
#21840 optum/tap/semver 1 0%
#21841 shrutitirpude/tap-formula/app 1 0%
#21842 ensembl/external/blast 1 0%
#21843 resymc 1 0%
#21844 ensembl/cask/basic-dependencies-from-source 1 0%
#21845 ensembl/ensembl/ensc-annotation-tools 1 0%
#21846 ensembl/external/trnascan 1 0%
#21847 kevinschaul/core/mitmproxy 1 0%
#21848 jakewharton/repo/plex-auto-trash 1 0%
#21849 aconchillo/guile/guile-lzlib 1 0%
#21850 mingeme/tap/lazyv2ex 1 0%
#21851 segmentio/packages/k2 1 0%
#21852 homebrew/linux-fonts/font-fira-sans-extra-condensed 1 0%
#21853 chenrui333/tap/jplot 1 0%
#21854 knative-sandbox/kn-plugins/func@1.12 1 0%
#21855 infisical/get-cli/infisical@0.16.5 1 0%
#21856 kconfigwidgets 1 0%
#21857 kglobalaccel 1 0%
#21858 kitemviews 1 0%
#21859 knotifications 1 0%
#21860 hougesen/tap/kdlfmt 1 0%
#21861 kservice 1 0%
#21862 kio 1 0%
#21863 kpackage 1 0%
#21864 farcloser/brews/farcloser_dev 1 0%
#21865 monki1/cowpy/cowpy 1 0%
#21866 astropenguin/formulae/fls 1 0%
#21867 rocketwerkz/internal/clang-format-rw 1 0%
#21868 astronomer/tap/astro@1.23.0 1 0%
#21869 uetchy/nlp/darts 1 0%
#21870 sendgrid-ops/workstation/dnsme 1 0%
#21871 minio/minio/minio 1 0%
#21872 pinta 1 0%
#21873 vitorgalvao/tiny-scripts/audiobookify 1 0%
#21874 dream11/streamverse/streamverse 1 0%
#21875 aspnet/dnx/dnvm 1 0%
#21876 tmattio/tap/spin 1 0%
#21877 brewsci/bio/quickmerge 1 0%
#21878 riboseinc/rnp/rnp 1 0%
#21879 annaliseai/homebrew/sadge 1 0%
#21880 stormssh 1 0%
#21881 tedfulk/suggest/suggest 1 0%
#21882 eoleedi/tap/wifi-loc-control 1 0%
#21883 upamune/tap/airulesync 1 0%
#21884 jacobwgillespie/tap/run 1 0%
#21885 tavispalmer/kde/kwidgetsaddons 1 0%
#21886 gh-deps 1 0%
#21887 atomicpurple/tap/xz 1 0%
#21888 jake-walker/tap/lop 1 0%
#21889 overjetdental/overjet/ins 1 0%
#21890 cppfw/tap/libnitki 1 0%
#21891 infisical/get-cli/infisical@0.20.1 1 0%
#21892 nike-inc/nike/bartlett 1 0%
#21893 kemokemo/tap/imgdiff 1 0%
#21894 junnishimura/tap/okyo 1 0%
#21895 cxwx/cxbrew/minilisp 1 0%
#21896 romankurnovskii/awesome-brew/ffmpeg-iina 1 0%
#21897 eksctl-prerelase 1 0%
#21898 engineerbetter/tap/control-tower 1 0%
#21899 askcloudarchitech/askcloudarchitech/mediumautopost 1 0%
#21900 spencer-zepelin/ffmpeg6/ffmpeg 1 0%
#21901 tchlyah/couchmove/couchmove 1 0%
#21902 beamlit/blaxel/blaxel 1 0%
#21903 cppfw/tap/libruis 1 0%
#21904 jonboone/securefs/securefs 1 0%
#21905 homebrew-fucking-sucks/test-repo/qt@5.15 1 0%
#21906 chaarlesss/emacsmacport/emacs-mac 1 0%
#21907 m-housh/formula/swift-zet 1 0%
#21908 darthpestilane/tap/go@1.12 1 0%
#21909 darthpestilane/tap/go@1.19 1 0%
#21910 darthpestilane/tap/go@1.17 1 0%
#21911 max2github/msh/msh 1 0%
#21912 homebrew/cask-versions/jmeter@5.4.3 1 0%
#21913 ba3 1 0%
#21914 hongmingjian/formula/sakura 1 0%
#21915 auxcoder/neovim-nightly/neovim-nightly 1 0%
#21916 auxcoder/formulas/neovim-nightly 1 0%
#21917 artemeon/php/php@8.0 1 0%
#21918 creditas/tap/cliditas 1 0%
#21919 brewsci/bio/snoscan 1 0%
#21920 warexify/tap/osxiconutils 1 0%
#21921 usankinms/pentest/dirb 1 0%
#21922 skynet-core/skynet/llvm@19 1 0%
#21923 prezi/oss/ivy-wrapper 1 0%
#21924 bbilgin01/cs240ozu/riscv-isa-sim-32 1 0%
#21925 scottrigby/macos-configurator/pldiff 1 0%
#21926 eso/pipelines/esopipe-giraf-demo 1 0%
#21927 outscale/tap/frieza 1 0%
#21928 fl33tw00d/steelix/steelix 1 0%
#21929 juwens/juwens/pputil 1 0%
#21930 twilio/brew/twilio@5.22.2 1 0%
#21931 davidchall/hep/qcdnum 1 0%
#21932 eso/pipelines/edps2 1 0%
#21933 colinshr/core/icu4c 1 0%
#21934 riesenia/utils/pass 1 0%
#21935 walteh/tap/screenshots-in-dock 1 0%
#21936 conductorone/baton/baton-xero 1 0%
#21937 protobuf@25 1 0%
#21938 eilgnaw/xcode-discord-rpc/xcode-discord-rpc 1 0%
#21939 davep/homebrew/journey2md 1 0%
#21940 zzzlobster/tap/openssl@1.1 1 0%
#21941 sidaf/pentest/frogger 1 0%
#21942 metasieben/tap/unrar 1 0%
#21943 homebrew/cask/r@4.1.1 1 0%
#21944 larstvei/maude/full-maude 1 0%
#21945 astronomer/tap/astro@1.24.1 1 0%
#21946 abicky/tools/ecsmec 1 0%
#21947 linuxbrew/fonts/font-cousine 1 0%
#21948 motemen/motemen/lgtm.sh 1 0%
#21949 mashiike/tap/yaml2text 1 0%
#21950 homebrew/nginx/openresty-openssl 1 0%
#21951 developermaris/brew/iosrssi 1 0%
#21952 microsoft/mssql-release/msodbcsql17@17.4.2.1 1 0%
#21953 hazelcast/hz/hazelcast@5.1.3 1 0%
#21954 ebi-yade/tap/ecresolve 1 0%
#21955 hynkle/legacy-openssl/openssl@1.0 1 0%
#21956 gundam-organization/tap/gundam 1 0%
#21957 twilio-internal/tap/bundlectl 1 0%
#21958 kanata@1.6.1 1 0%
#21959 eso/pipelines/esopipe-nirps-demo 1 0%
#21960 pulck/mpvdeps/leptonica 1 0%
#21961 nginx/unit/unit-python 1 0%
#21962 pulck/mpvdeps/libbluray 1 0%
#21963 pulck/mpvdeps/libsoxr 1 0%
#21964 pulck/mpvdeps/libogg 1 0%
#21965 tapeless-app/tapeless-cli/tapeless-cli 1 0%
#21966 smnogar/ros-noetic/python@2 1 0%
#21967 lgarron/lgarron/web 1 0%
#21968 isan-rivkin/toolbox/r53 1 0%
#21969 pulck/mpvdeps/sdl2 1 0%
#21970 henkrehorst/php/valet-php@8.1 1 0%
#21971 pulck/mpvdeps/libarchive 1 0%
#21972 z80oolong/eaw/neomutt@20240201 1 0%
#21973 homebrew/linux-fonts/font-meslo-lg 1 0%
#21974 calvin-l/tap/coqhammer@1.3.2 1 0%
#21975 rbird/rbird/getstation 1 0%
#21976 pulck/mpvdeps/zimg 1 0%
#21977 appunite/formulae/palmyra 1 0%
#21978 aaguirreo/esopipelines/esopipe-vcam-recipes 1 0%
#21979 pulck/mpvdeps/libxrandr 1 0%
#21980 pulck/mpvdeps/libxcursor 1 0%
#21981 pulck/mpvdeps/libdeflate 1 0%
#21982 kdab/tap/kdalgorithms 1 0%
#21983 automattic/build-tools/configure 1 0%
#21984 pulck/mpvdeps/x265 1 0%
#21985 kdab/tap/kdsoap-qt6 1 0%
#21986 pulck/mpvdeps/fontconfig 1 0%
#21987 pulck/mpvdeps/harfbuzz 1 0%
#21988 pulck/mpvdeps/pcre2 1 0%
#21989 pulck/mpvdeps/highway 1 0%
#21990 padok-team/tap/git-secret-scanner 1 0%
#21991 pulck/mpvdeps/frei0r 1 0%
#21992 fmenezes/tap/podman@4.6.2 1 0%
#21993 pulck/mpvdeps/glib 1 0%
#21994 pulck/mpvdeps/fribidi 1 0%
#21995 iceman1001/proxmark3/arm-none-eabi-gcc 1 0%
#21996 pulck/mpvdeps/libunibreak 1 0%
#21997 foomo/squadron/squadron 1 0%
#21998 mongodb/mongodb/mongodb-community@7.0 1 0%
#21999 embik/tap/kubeone 1 0%
#22000 jlucktay/tap/my-github-repos 1 0%
#22001 sm 1 0%
#22002 modswift/mod_swift/mod_swift 1 0%
#22003 millermedia/awtest/awtest 1 0%
#22004 boost@1.81 1 0%
#22005 adrianliechti/tap/tunnel 1 0%
#22006 merqlove/do-snapshot/do_snapshot 1 0%
#22007 formancehq/tap/ledger 1 0%
#22008 sv99/sv99/html2md 1 0%
#22009 shellrow/tap-nscan/nscan 1 0%
#22010 hasktorch/libtorch-prebuild/libtorch-prebuild@2.0 1 0%
#22011 marcusziade/songlink-cli/songlink-cli 1 0%
#22012 torchchat 1 0%
#22013 brewsci/bio/abyss-explorer 1 0%
#22014 ptbrodie/block/block 1 0%
#22015 svg2dxf 1 0%
#22016 drfill/liquidsoap/ocaml-findlib 1 0%
#22017 iewnfod/wa-tap/wa 1 0%
#22018 penguinify/logineasy/logineasy 1 0%
#22019 etrigan63/betterdiscordctl/betterdiscordctl 1 0%
#22020 jiro-884/tap/blago 1 0%
#22021 quackduck/tap/tii 1 0%
#22022 nutellinoit/kubenvz/kubenvz 1 0%
#22023 kloser-io/legacy/flyway9 1 0%
#22024 yhzion/tap/Cody 1 0%
#22025 mauroandocilla/mauroandocilla/spix 1 0%
#22026 defenseunicorns/tap/zarf@0.36.1 1 0%
#22027 ocaml/ocaml/opam@2 1 0%
#22028 fiveagency/five-swiftlint/five-swiftlint 1 0%
#22029 san4op/phpunit/phpunit@9.6 1 0%
#22030 polakovic/astronomy/libindi 1 0%
#22031 chargeover/chargeover-cli/chargeover-cli 1 0%
#22032 cmd-tools/tap/ipv4mix 1 0%
#22033 excitedplus1s/repo/optool 1 0%
#22034 robertglonek/tools/aerolab@7.6.3 1 0%
#22035 robertglonek/tools/aerolab@7.6.2 1 0%
#22036 kevinschaul/core/rustc-completion 1 0%
#22037 icu4c@57 1 0%
#22038 defenseunicorns/tap/zarf@0.41.0 1 0%
#22039 mahoney/tap/docker-lifecycle-listener 1 0%
#22040 jenv/jenv/jenv 1 0%
#22041 robertfiko/referl-installer/referl 1 0%
#22042 homebrew/linux-fonts/font-roboto-flex 1 0%
#22043 keysim 1 0%
#22044 apexatoll/formulae/yabai 1 0%
#22045 sosafe-einar-olafsson/einar/generate-modules-packages 1 0%
#22046 mizdra/tap/magic-trackpad-switcher 1 0%
#22047 lepton 1 0%
#22048 scottbrown/setlist/setlist 1 0%
#22049 cxwx/cxbrew/erfa 1 0%
#22050 romankurnovskii/awesome-brew/ffmpeg 1 0%
#22051 mammoth 1 0%
#22052 gramss/sdr/gr-osmosdr 1 0%
#22053 minetest-5.8 1 0%
#22054 paul-hammant/tap/git-size 1 0%
#22055 ronald-cifuentes/struct/struct 1 0%
#22056 mittelmark/mittelmark/microemacs-spelling-dede 1 0%
#22057 oliverepper/made/pjproject-apple-platforms 1 0%
#22058 astronomer/tap/astro@0.16.3 1 0%
#22059 athlonreg/tap/image-sync 1 0%
#22060 codeliveroil/apps/img 1 0%
#22061 livestreamer 1 0%
#22062 rasil428/tap/openssl@1.1 1 0%
#22063 francisvega/taps/fzfnpm 1 0%
#22064 pvolok/mprocs/mprocs 1 0%
#22065 flyrinc/tools/structurizr-site-generatr 1 0%
#22066 eclipse-zenoh/zenoh/zenoh-backend-rocksdb 1 0%
#22067 donovan1905/greenfra/greenfra 1 0%
#22068 byrdocs/core/byrdocs-cli 1 0%
#22069 danpashin/repo/mustache 1 0%
#22070 nsabovic/linuxonmac/elf-header 1 0%
#22071 sincerefly/easycmd/easycmd 1 0%
#22072 nrodichenko/px4/px4-sim-gazebo 1 0%
#22073 petetanton/ops-tools/reclaim 1 0%
#22074 scmn-dev/secman/secman 1 0%
#22075 aditya-dobhada/clera/clera 1 0%
#22076 homebrew/linux-fonts/font-alegreya-sans-sc 1 0%
#22077 dave1010/clipea/clipea 1 0%
#22078 homebrew/linux-fonts/font-alegreya-sc 1 0%
#22079 swartzrock/tap/pace 1 0%
#22080 osrf/simulation/gazebo7 1 0%
#22081 szampier/pipelines/esopipe-cr2re 1 0%
#22082 kjalnes/core/passenger 1 0%
#22083 sidneys/homebrew/mpv 1 0%
#22084 mikelorant/custom/asdfswitcher 1 0%
#22085 openresty/brew/healthcheck-nginx-module 1 0%
#22086 cparu/proxmark3/proxmark3 1 0%
#22087 shihabuddinbuet/make-my-mac/make-my-mac 1 0%
#22088 bob-swinkels/speed-test/speed-test 1 0%
#22089 datadog/datadog-agent-macos-build/ruby@2.7.4 1 0%
#22090 bradyjoslin/rsieve/rsieve 1 0%
#22091 raylu/formulae/q 1 0%
#22092 fbkarsdorp/lamachine/uctodata 1 0%
#22093 umi-eng/tap/umi 1 0%
#22094 sha1n/tap/hako 1 0%
#22095 d235j/ios-restore-tools/img3tool 1 0%
#22096 szabado/tools/cache 1 0%
#22097 fbkarsdorp/lamachine/frogdata 1 0%
#22098 yugabyte/yugabytedb/yb-voyager@1.8.0 1 0%
#22099 tueda/loops/minos 1 0%
#22100 null93/tap/waterfall 1 0%
#22101 null93/tap/mirdir 1 0%
#22102 simplydanny/pass-extensions/pass-clip 1 0%
#22103 thrift@0.11 1 0%
#22104 brunoluiz/tap/urlzap 1 0%
#22105 stellarsolver 1 0%
#22106 bluebricks-co/bricks/bricks@1.9.0-canary 1 0%
#22107 anarchivist/hamradio/direwolf 1 0%
#22108 atayikilmaz/tap/autoblue 1 0%
#22109 zig@0.14 1 0%
#22110 zph/zph/pather 1 0%
#22111 mhoofe/tap/rake-completion 1 0%
#22112 brew-toy-formula 1 0%
#22113 ffmpeg-apple-arm64-build 1 0%
#22114 dbt-labs/dbt/dbt-bigquery@1.1.0 1 0%
#22115 yugabyte/tap/debezium@2.5.2-1.8.4 1 0%
#22116 lucianmocan/i386-elf-toolchain/i386-elf-binutils 1 0%
#22117 manelatun/catalina/libmpc 1 0%
#22118 manelatun/catalina/mpfr 1 0%
#22119 manelatun/catalina/meson 1 0%
#22120 manelatun/catalina/icu4c 1 0%
#22121 halilsacpapa/dual-git/dual-git 1 0%
#22122 marcqualie/nginx/auth-pam-nginx-module 1 0%
#22123 konstructio/taps/colony 1 0%
#22124 sandersaelmans/qemu/lima 1 0%
#22125 monea-xyz/tap/monea-cli 1 0%
#22126 andreacfromtheapp/tap/freesound-credits 1 0%
#22127 mdepree5/webai/webai 1 0%
#22128 kgraefe/pidgin-plugins/pidgin-birthday-reminder 1 0%
#22129 motokii/batterygraph/batterygraph 1 0%
#22130 elastic/harp/harp 1 0%
#22131 rockymadden/rockymadden/rfc 1 0%
#22132 funcheck 1 0%
#22133 szampier/pipelines/esopipe-esotk 1 0%
#22134 redbubble/yak/yak 1 0%
#22135 coin-or/coinor/mumps-seq 1 0%
#22136 ayre/tap/sf 1 0%
#22137 jdecool/php/php@8.1 1 0%
#22138 enrichman/tap/stegosecrets 1 0%
#22139 chigraph/kf5/kf5-kjsembed 1 0%
#22140 chigraph/kf5/kf5-kpty 1 0%
#22141 chigraph/kf5/kf5-kguiaddons 1 0%
#22142 get-bridge/tap/truss-local@0.4.0 1 0%
#22143 songmu/tap/goxz 1 0%
#22144 trsdln/mongo/mongodb-community@6.0 1 0%
#22145 rdump/versions/kubernetes-cli@1.23.6 1 0%
#22146 lifepillar/appleii/applewin 1 0%
#22147 bartekpacia/tools/maestro 1 0%
#22148 masuldev/mcl/mcl 1 0%
#22149 gromgit/core-mojave/libvmaf 1 0%
#22150 brewsci/bio/gzstream 1 0%
#22151 mnewberry/fdsel/fdsel 1 0%
#22152 gmtstephane/kpture/kpture 1 0%
#22153 evo-cloud/toolkit/hmake 1 0%
#22154 xwmx/taps/bindle 1 0%
#22155 tresio/tools/crawl 1 0%
#22156 dimpase/core/python-sympy 1 0%
#22157 dimpase/core/python-cachetools 1 0%
#22158 btrachey/pyenv/pyenv-default-packages 1 0%
#22159 onlynone/tap/docker-dns 1 0%
#22160 tubenhirn/formulae/rasic 1 0%
#22161 vinhnx/formulae/spawn 1 0%
#22162 hatools 1 0%
#22163 meterup/packages/postgresql 1 0%
#22164 pachyderm/tap/pachctl@1.12 1 0%
#22165 pd3v/diatonic/diatonic 1 0%
#22166 devopstales/devopstales/kdlogin 1 0%
#22167 idlephysicist/caving/cave-logger 1 0%
#22168 trailofbits/tools/cloudexec 1 0%
#22169 vibeus/vibeus/clang-format 1 0%
#22170 cxwx/cxbrew/xtensorio 1 0%
#22171 mmiranda/apps/markdown-index 1 0%
#22172 webispy/sdk/mdbus2 1 0%
#22173 odpf/tap/stencil 1 0%
#22174 nugulinux/sdk/libnugu-extension-sample 1 0%
#22175 profiprog/tap/res 1 0%
#22176 jfb3615/repo/spacetime 1 0%
#22177 rdump/versions/kubernetes-cli@1.25.5 1 0%
#22178 loveholidays/tap/excalidraw-decrypt 1 0%
#22179 hashicorp/tap/librdkafka 1 0%
#22180 leschekhomann/qemu_7.2.12/qemu 1 0%
#22181 holoarchivists/tap/ytarchive 1 0%
#22182 rshop/utils/pass 1 0%
#22183 pythoncoderas/brew/python@2.7.17 1 0%
#22184 sidneys/homebrew/mkvtoolnix 1 0%
#22185 flood-io/taps/flood 1 0%
#22186 pieces-app/pieces/pieces 1 0%
#22187 goofw/homebrew/sing-box 1 0%
#22188 datasherlocks/tap/sherlock 1 0%
#22189 lirummirul/testscript/testscript 1 0%
#22190 prandom 1 0%
#22191 josh/tap/tmux-yank 1 0%
#22192 bazelbuild/tap/bazel@5 1 0%
#22193 turbot/tap/steampipe@0.19.3 1 0%
#22194 jaedle/test-and-commit-or-revert/test-and-commit-or-revert 1 0%
#22195 mrdogebro/quicknav/quicknav 1 0%
#22196 nova-physics 1 0%
#22197 draftbrew/tap/watchyourlan 1 0%
#22198 wistmanswood/netatalk-dbus/dbus-glib 1 0%
#22199 jenkins@361 1 0%
#22200 kyoh86/tap/goimportssw 1 0%
#22201 twsnmp/tap/hello-cli 1 0%
#22202 argoproj/tap/argocd@2.10.7 1 0%
#22203 protobuf@27 1 0%
#22204 noe-p/taps/generate-qr 1 0%
#22205 taozhi8833998/asteria/asteria 1 0%
#22206 openresty/brew/echo-nginx-module 1 0%
#22207 suzuki-shunsuke/aqua/aqua 1 0%
#22208 digitalspacestdio/php/php73-mcrypt 1 0%
#22209 meyermarcel/tap/icm 1 0%
#22210 tgragnato/amule/terraform 1 0%
#22211 brew-tools/tap/forward 1 0%
#22212 topheman/tap/snakepipe 1 0%
#22213 noobcollective/tap/reme 1 0%
#22214 svoop/postgresql/pg_uuidv7@16 1 0%
#22215 edahlseng/core/kicad-diff 1 0%
#22216 tomorrowkey/self/authy-cli 1 0%
#22217 ruimarinho/tap/mota 1 0%
#22218 denji/nginx/autols-nginx-module 1 0%
#22219 zchee/formula/tmux-head 1 0%
#22220 conductorone/gon/gon 1 0%
#22221 abicky/tools/akv 1 0%
#22222 kde/kde/kdiagram 1 0%
#22223 bolmaster2/curl-openssl/curl-openssl@1.1 1 0%
#22224 guac 1 0%
#22225 handelsblattmediagroup/tap/keeper2lastpass 1 0%
#22226 artsy/formulas/opensearch 1 0%
#22227 knative/client/kn@1.15 1 0%
#22228 chyroc/tap/otp-cli 1 0%
#22229 caarlos0/tap/xdg-open-svc 1 0%
#22230 pyusb 1 0%
#22231 brewsci/bio/psmc 1 0%
#22232 wtfutil/wtfutil/wtfutil 1 0%
#22233 jpwallace22/seed/seed 1 0%
#22234 kruc/tap/clockify-to-jira 1 0%
#22235 tiulpin/tap/terraform 1 0%
#22236 netventory 1 0%
#22237 liambarkley/tools/clair-scanner 1 0%
#22238 malensek/brew/dssh 1 0%
#22239 homebrew/cask/wxmaxima@20.12.2 1 0%
#22240 tuist/tuist/tuist@3.39.1 1 0%
#22241 san4op/phpunit/phpunit@8.5 1 0%
#22242 fluree/flureedb/flureedb 1 0%
#22243 img9417/pomosh/pomosh 1 0%
#22244 weaveworks/tap/eksctl@0.174.0 1 0%
#22245 vitorgalvao/tiny-scripts/laus 1 0%
#22246 cyb3r-jak3/tap/cloudflare-utils 1 0%
#22247 harleymckenzie/asc/assm 1 0%
#22248 digzyme/third-party-tools/rdkit 1 0%
#22249 t94j0/personal/zybook 1 0%
#22250 patch-tech/tap/dpm 1 0%
#22251 patrickdappollonio/tap/http-server 1 0%
#22252 cartavis/tap/open-mpi 1 0%
#22253 farfanoide/yatsh/yatsh 1 0%
#22254 bnwlkr/wguard/wguard 1 0%
#22255 stealthii/bats-core/bats-assert 1 0%
#22256 datadog/datadog-agent-macos-build/gimme@1.5.4 1 0%
#22257 ikuwow/ikuwow-sshrc/sshrc 1 0%
#22258 railwayapp/nixpacks/nixpacks 1 0%
#22259 olets/tap/zsh-job-queue 1 0%
#22260 cxwx/cxbrew/htmlpp 1 0%
#22261 cxwx/cxbrew/sudoku 1 0%
#22262 robotastic/gnuradio/gqrx 1 0%
#22263 kabel/pecl/php-memcache 1 0%
#22264 jetforme/tap/raise3d-tool 1 0%
#22265 fs0414/tap/nodeid-transfer 1 0%
#22266 cqlabs/dcm/dcm@1.26.1 1 0%
#22267 allero-io/allero/allero 1 0%
#22268 russmckendrick/tap/ssl-toolkit 1 0%
#22269 getmyip 1 0%
#22270 alikadir/log-analyzer-cli/log-analyzer 1 0%
#22271 anarchivist/espeak-ng/pcaudiolib 1 0%
#22272 astronomer/tap/astro@1.21.0 1 0%
#22273 gschool/galvanize/cirrus-sdk 1 0%
#22274 l-oris/you-dl/you-dl 1 0%
#22275 foomo/tap/sesamy-cli 1 0%
#22276 dafuqtor/tap/wifi-pass 1 0%
#22277 clutter 1 0%
#22278 havoc-io/mutagen/mutagen-compose-beta 1 0%
#22279 knes1/tap/elktail 1 0%
#22280 you54f/brew/macosvm 1 0%
#22281 kishaningithub/tap/randomtext 1 0%
#22282 beleap/tap/aws-profile-switcher 1 0%
#22283 drasyl-overlay/drasyl/drasyl 1 0%
#22284 nb5p/tap/figlet-fonts 1 0%
#22285 salilab/salilab/swig@3 1 0%
#22286 7sedam7/krafna/krafna 1 0%
#22287 jkleinne/tools/lazyspeed 1 0%
#22288 liszt-music/liszt/lst 1 0%
#22289 hazelcast/hz/hazelcast-enterprise@5.4.0.beta.2 1 0%
#22290 mesqueeb/tap/fft 1 0%
#22291 tsukinoko-kun/tap/serve 1 0%
#22292 leoafarias/fvm/fvm@3.0.2 1 0%
#22293 octave-app/octave-app/pyqt-builder-octapp 1 0%
#22294 newickutils 1 0%
#22295 turbot/tap/steampipe@1.0.1 1 0%
#22296 koki-develop/tap/ego 1 0%
#22297 procore/tap/aws-ssh-dev 1 0%
#22298 zalt50/core/w3m 1 0%
#22299 lgarron/lgarron/gclone 1 0%
#22300 stuarthicks/brews/tsocks 1 0%
#22301 gfacciol/keckcaves/vrui 1 0%
#22302 libbitcoin-explorer-icu 1 0%
#22303 kreuzwerker/taps/envplate 1 0%
#22304 tristanperich/tavrasm/tavrasm 1 0%
#22305 ship-digital/tap/pull-watch 1 0%
#22306 matlibtools 1 0%
#22307 aaratha/emacs-plus/emacs-plus@31 1 0%
#22308 solidiquis/tap/erdtree 1 0%
#22309 andy-zhou/cross-compiler/i686-elf-gdb 1 0%
#22310 sosafe-einar-olafsson/einar/generate_modules_packages 1 0%
#22311 rcmdnk/testtap/atcoder-tools 1 0%
#22312 kouzoh/tap/cue 1 0%
#22313 altanbgn/sereve/sereve 1 0%
#22314 audivir/repo/smina 1 0%
#22315 runscode/spm/spm 1 0%
#22316 yugabyte/tap/yb-voyager@0rc2.1.8.6 1 0%
#22317 ensembl/external/repeatmasker 1 0%
#22318 morpheus-lab/morpheus-versions/morpheus@2.2.0b2 1 0%
#22319 goto/tap/siren 1 0%
#22320 aconchillo/guile/gas 1 0%
#22321 lithammer/deadsnakes/python@2.7 1 0%
#22322 coeur/repo/openssl@1.0.2 1 0%
#22323 yugabyte/tap/yugabytedb@2.23 1 0%
#22324 brandonweiss/tap/git-aliae 1 0%
#22325 zkfmapf123/tap/wip 1 0%
#22326 echovault/echovault/sugardb 1 0%
#22327 octave-app/octave-app/fig2dev-octapp 1 0%
#22328 david0/audio/caps-lv2 1 0%
#22329 eniayomi/tap/k8stool 1 0%
#22330 drewcarlson/repo/ktpack 1 0%
#22331 bluebricks-co/bricks/bricks@1.21.1-rc5 1 0%
#22332 vlatombe/kubectls/chk 1 0%
#22333 webcyou-org/tap/open-texture-packer 1 0%
#22334 linuxbrew/fonts/font-alegreya-sc 1 0%
#22335 xasopheno/weresocool/weresocool 1 0%
#22336 rnesytov/jordi/jordi 1 0%
#22337 ttskch/composer/composer@2.2.24 1 0%
#22338 ilkh/sshpass/sshpass 1 0%
#22339 itchyny/tap/sjsp 1 0%
#22340 mdnoga/pentesting/windows-exploit-suggester 1 0%
#22341 kabel/pecl/php-sqlsrv 1 0%
#22342 mdnoga/pentesting/gobuster 1 0%
#22343 mdnoga/pentesting/fuzzdb 1 0%
#22344 appliedlogicsystems/als-prolog/als-prolog 1 0%
#22345 aelesbao/tools/git-recursive 1 0%
#22346 sourcegraph/src-cli/src-cli@4.5.0 1 0%
#22347 brewsci/science/salt 1 0%
#22348 tlan16/goscrape/goscrape 1 0%
#22349 neonichu/formulae/trolldrop 1 0%
#22350 thecasualcoder/stable/tztail 1 0%
#22351 syu-fu/tap/keydiff 1 0%
#22352 justaspolis/formulae/mac-apps 1 0%
#22353 markwu/personal/zeal 1 0%
#22354 szampier/pipelines/gravity 1 0%
#22355 dmgbuild 1 0%
#22356 chatwithcloud/tap/chatwithcloud 1 0%
#22357 burnt-labs/xion/xiond@0.3.1 1 0%
#22358 blackfireio/blackfire/blackfire-php71-zts 1 0%
#22359 brkn/brew/vimv 1 0%
#22360 sidaf/pentest/robots_disallowed 1 0%
#22361 gdanko/gdanko/enpass 1 0%
#22362 datamimic 1 0%
#22363 envvault 1 0%
#22364 wang-q/tap/spoa 1 0%
#22365 digitalspacestdio/php/composer@2.7 1 0%
#22366 cxwx/cxbrew/cpp-dump 1 0%
#22367 zakashic/garden/lporg 1 0%
#22368 expo/expo-utils/expo-volta 1 0%
#22369 androidwiltron/dossh/dossh 1 0%
#22370 akirakyle/qemu-virgl/libepoxy-angle 1 0%
#22371 ringohub/rediscli/redis-cli 1 0%
#22372 fishtown-analytics/dbt/dbt-snowflake 1 0%
#22373 homebrew/cask-versions/mysql@8.0.30 1 0%
#22374 danieljprice/all/denoise 1 0%
#22375 enuesaa/tap/dotslide 1 0%
#22376 cqlabs/dcm/dcm@1.24.0 1 0%
#22377 willfantom/overclirr/overclirr 1 0%
#22378 pulck/mpvdeps/aom 1 0%
#22379 promptops/promptops/promptops-cli 1 0%
#22380 sqlitebrowser/sqlite3/sqlitefts5 1 0%
#22381 wellcometrust/wellcome-tap/remote 1 0%
#22382 nitidbit/gpush/gpush@2.5.0 1 0%
#22383 kurtosis-tech/tap/kurtosis-cli@0.84.4 1 0%
#22384 infisical/get-cli/infisical@0.34.1 1 0%
#22385 tailcallhq/codeforge/codeforge 1 0%
#22386 gkjpettet/roo/roo 1 0%
#22387 nimblemarkets/tap/ollamatea 1 0%
#22388 appleboy/tap/deploy-k8s 1 0%
#22389 eso/pipelines/gsl@2.8 1 0%
#22390 sourcegraph/src-cli/src-cli@4.4.2 1 0%
#22391 athrunsun/linuxbinary/microsoft-teams 1 0%
#22392 williamtoolbox 1 0%
#22393 fermyon/tap/bart 1 0%
#22394 ihumster/hashicorp/terraform 1 0%
#22395 drfill/liquidsoap/ocaml-gstreamer 1 0%
#22396 drfill/liquidsoap/ocaml-samplerate 1 0%
#22397 homebrew/cask/yarn@1.22.4 1 0%
#22398 codygarver/core/jpeg-xl 1 0%
#22399 minstaller 1 0%
#22400 sail-rems 1 0%
#22401 jmacdonald/amp/amp 1 0%
#22402 fortio/tap/nocolor 1 0%
#22403 marc-shen/openssl/openssl@1.1 1 0%
#22404 gabrie30/tap/ghorg 1 0%
#22405 zbackup 1 0%
#22406 bfontaine/utils/whatweb 1 0%
#22407 antonio-leitao/taps/bib 1 0%
#22408 khuong02/go/go-brew 1 0%
#22409 drdeee/qemu-virgl/qemu-virgl 1 0%
#22410 betterment/betterbrew/coach 1 0%
#22411 dekker1/minizinc/fzn-oscar-cbls 1 0%
#22412 johanvdhaegen/tools/emacs-cocoa@30 1 0%
#22413 drone/drone/drone@0.8.0 1 0%
#22414 achalpathak/achalpathak/rapid_ssh 1 0%
#22415 whisper.cpp.1.6.2 1 0%
#22416 flare-capa 1 0%
#22417 px4/px4/gcc-arm-none-eabi-62 1 0%
#22418 openatx/tap/wdaproxy 1 0%
#22419 metannotate/metannotate/easel 1 0%
#22420 automationd/tap/atun@0.4.1 1 0%
#22421 speakeasy-api/tap/speakeasy@1.352.1 1 0%
#22422 onscripter 1 0%
#22423 octahive/octa/octa 1 0%
#22424 joelzanden/tap/mine 1 0%
#22425 opuntiaos-project/formulae-arm-gcc/arm-none-eabi-gcc 1 0%
#22426 sh4sh/profanity/profanity-e2e 1 0%
#22427 sh4sh/profanity/profanity 1 0%
#22428 linuxbrew/xorg/xorg-sgml-doctools 1 0%
#22429 marc-shen/zathura/girara 1 0%
#22430 goodpie/scripts/pg-docker-helper 1 0%
#22431 xlith/lipsum-cli/lipsum-cli 1 0%
#22432 stacc/tap/stacc 1 0%
#22433 knative/client/kn@1.13 1 0%
#22434 buddy/bdy/bdy-stage 1 0%
#22435 olets/tap/git-commit-message-summary-length 1 0%
#22436 remino/remino/git-dup 1 0%
#22437 aaratha/emacs-plus/emacs-plus@30 1 0%
#22438 dbt-labs/dbt/dbt-postgres@1.5.10 1 0%
#22439 snowflakedb/cloudeng/midterm-rollout-orchestrator 1 0%
#22440 willswire/tap/aws_signing_helper 1 0%
#22441 mrled/cauldron/man-pages-posix 1 0%
#22442 bell-sw/liberica/liberica-jdk@17 1 0%
#22443 homebrew/linux-fonts/font-dm-mono 1 0%
#22444 falcon 1 0%
#22445 pthariensflame/assorted/utf8gen 1 0%
#22446 ani-cli 1 0%
#22447 henrik242/brew/ruby@2.6 1 0%
#22448 babashka/brew/neil@0.0.14 1 0%
#22449 twilio/brew/twilio@5.2.2 1 0%
#22450 panmona/panmona/switchtube-dl 1 0%
#22451 chenrui333/tap/percollate 1 0%
#22452 buddy/tunnel/buddy-tunnel-dev 1 0%
#22453 macmade/tap/xrun 1 0%
#22454 webdestroya/tap/phonelookup 1 0%
#22455 sgaunet/tools/gocrypt 1 0%
#22456 batic420/root-kit/root-kit 1 0%
#22457 defenseunicorns/tap/zarf@0.45.0 1 0%
#22458 shakataganai/cask/cavalry 1 0%
#22459 launchdarkly/dev-tools/awssh 1 0%
#22460 rcmdnk/rcmdnkpac/ec2 1 0%
#22461 joakimen/taps/clone 1 0%
#22462 johanneszeller/lexonomy/lexonomy 1 0%
#22463 yaml/yamlscript/ys@0.1.86 1 0%
#22464 yaml/yamlscript/ys@0.1.85 1 0%
#22465 acama/xrop/xrop 1 0%
#22466 raspberrypi-open-ocd 1 0%
#22467 patbonecrusher/splistgo/splistgo 1 0%
#22468 joe-davidson1802/xc/xc 1 0%
#22469 shen-language/shen/shen-scheme 1 0%
#22470 itchyny/tap/mackerel-plugin-uptime 1 0%
#22471 yashschandra/freetube-server/freetube-server 1 0%
#22472 homebrew/core2/maven 1 0%
#22473 chenrui333/tap/kafkactl 1 0%
#22474 zuqq/tap/switcheroo 1 0%
#22475 astronomer/tap/astro@1.28.0 1 0%
#22476 homebrew/cask-versions/mysql-connector-c++@8.0.22 1 0%
#22477 owasp-offat/tap/offat 1 0%
#22478 protomoks/tap/pmok 1 0%
#22479 jaybrown/cn/cn 1 0%
#22480 lucasloureiror/tools/slh 1 0%
#22481 leocavalcante/tap/vup 1 0%
#22482 sk-fido2 1 0%
#22483 linyows/git-semv/git-semv 1 0%
#22484 authzed/tap/spicedb@1.33.1 1 0%
#22485 cdzombak/oss/xtool 1 0%
#22486 defenseunicorns/tap/zarf@0.33.2 1 0%
#22487 ardupilot/ardupilot/gcc-arm-none-eabi 1 0%
#22488 open-component-model/tap/ocm@0.19.1 1 0%
#22489 thedannywahl/ezgif/ezgif 1 0%
#22490 speakeasy-api/tap/speakeasy@1.221.0 1 0%
#22491 baxromumarov/fuck-you/fuck_you 1 0%
#22492 envkey/envkey/envkey-fetch 1 0%
#22493 stek29/idevice/ldid2 1 0%
#22494 humgic/spectrum/spectrum 1 0%
#22495 sampsyo/mspgcc/msp430-mcu 1 0%
#22496 jafl/jx/nps-ssh-askpass 1 0%
#22497 nkouevda/nkouevda/capital-gains 1 0%
#22498 bitsoex/bitso/bitso 1 0%
#22499 rubikonz/core/git 1 0%
#22500 kwabenantim/chaste/hdf5-mpi 1 0%
#22501 cravid/tap/upper 1 0%
#22502 trendyol/trendyol-tap/kink 1 0%
#22503 cxwx/cxbrew/taskflow 1 0%
#22504 jubatus/jubatus/jubatus-mpio 1 0%
#22505 marcqualie/nginx/ngx-devel-kit 1 0%
#22506 nicholasdille/tap/regclient 1 0%
#22507 marcqualie/nginx/redis2-nginx-module 1 0%
#22508 borkdude/brew/puget 1 0%
#22509 oven-sh/bun/bun@1.0.19 1 0%
#22510 aderuelle/img4tool/libgeneral 1 0%
#22511 conky-for-macos/formulae/libircclient 1 0%
#22512 empowerrd/emp-postgres/libpq 1 0%
#22513 cxwx/cxbrew/gplotpp 1 0%
#22514 ratfiv 1 0%
#22515 wtype 1 0%
#22516 colinxr/shopify-theme-manager/stm 1 0%
#22517 keonly/legacy-lua/lua@5.1 1 0%
#22518 schpet/tap/changelog 1 0%
#22519 johnfairh/bebop/bebop 1 0%
#22520 babashka/brew/bbin@0.1.4 1 0%
#22521 petsca 1 0%
#22522 tomozo6/tap/tapir 1 0%
#22523 homebrew/linux-fonts/font-atkinson-hyperlegible-mono 1 0%
#22524 heavywatal/tap/tekka 1 0%
#22525 openresty/brew/fancyindex-nginx-module 1 0%
#22526 jyveapp/tap/git-tidy 1 0%
#22527 ahundt/robotics/camodocal 1 0%
#22528 liudangyi/i386-jos-elf-gcc/i386-jos-elf-binutils 1 0%
#22529 sst/tap/torpedo 1 0%
#22530 hndr-shippit/core/mcrypt 1 0%
#22531 cdalvaro/tap/howard-hinnant-date 1 0%
#22532 rerost/tools/shellm 1 0%
#22533 chenrui333/tap/umka 1 0%
#22534 homebrew/cask/lowdown 1 0%
#22535 lstellway/formulae/acert 1 0%
#22536 anttiharju/tap/vmatch-golangci-lint 1 0%
#22537 bwagner5/wagner/vpcctl 1 0%
#22538 i3ash/bin/medusa 1 0%
#22539 jltml/tap/devhints 1 0%
#22540 oven-sh/bun/bun@1.1.35 1 0%
#22541 clojure/tools/clojure@1.11.1.1290 1 0%
#22542 appthrust/tap/kutelog 1 0%
#22543 ardupilot/px4/gcc-arm-none-eabi-49 1 0%
#22544 princemjain/redis-exporter/redis-exporter 1 0%
#22545 aukaheng/akh/ext4fuse 1 0%
#22546 dockbrew/tap/ab 1 0%
#22547 sandreas/tap/mp4v2 1 0%
#22548 falcon-toolkit 1 0%
#22549 mulle-kybernetik/software/mulle-sde 1 0%
#22550 chenrui333/tap/cohctl 1 0%
#22551 homebrew/linux-fonts/font-d2coding 1 0%
#22552 kabel/pecl/php@8.3-pdo-sqlsrv 1 0%
#22553 babelcloud/tap/ht 1 0%
#22554 week-end-manufacture/xfloor/xfloor 1 0%
#22555 gusintheeshell/branchfy/branchfy 1 0%
#22556 adrianliechti/tap/wingman 1 0%
#22557 kamilturek/tap/macd 1 0%
#22558 ttskch/composer/composer@2.2.25 1 0%
#22559 wing2fly/tap/libxmlsec1@1.2.41 1 0%
#22560 masiedu4/timemachine/timemachine 1 0%
#22561 tokahuke/samizdat/samizdat 1 0%
#22562 lizardbyte/homebrew/lz4 1 0%
#22563 windsander/adi-stable-diffusion/adi 1 0%
#22564 cxwx/cxbrew/args 1 0%
#22565 ahundt/robotics/libpointmatcher 1 0%
#22566 broderick-westrope/tap/tetrigo 1 0%
#22567 benja/tap/cloup 1 0%
#22568 robsonfsouza/bobs/go-cloudmonkey 1 0%
#22569 clojure/tools/clojure@1.10.1.727 1 0%
#22570 getsynth/synth/synth 1 0%
#22571 karino2/tap/mdvcat 1 0%
#22572 libpq@16 1 0%
#22573 leoafarias/fvm/fvm@3.0.12 1 0%
#22574 sariserhat/tools/askgpt 1 0%
#22575 instantclienttap/instantclient/instantclient-arm64-odbc 1 0%
#22576 instantclienttap/instantclient/instantclient-arm64-tools 1 0%
#22577 jacobfg/taps-old/consolemd 1 0%
#22578 gerritforge/gerrit/gerrit@3.11.1 1 0%
#22579 danielsteman/tap/bundlelint 1 0%
#22580 abroudoux/tap/pm 1 0%
#22581 endformdev/tap/endform 1 0%
#22582 paritytech/paritytech/ethabi 1 0%
#22583 denji/nginx/geoip2-nginx-module 1 0%
#22584 parviziah/git-italy/git-italy 1 0%
#22585 christiantragesser/tap/dnsexit 1 0%
#22586 dogonthehorizon/customformulas/pgformatter-main 1 0%
#22587 pagerduty/pd_brews/pd-sbt 1 0%
#22588 basti0nz/tap/tfselect 1 0%
#22589 sethdeckard/proj/proj 1 0%
#22590 gerritvreeman/chem/shelx 1 0%
#22591 knative-extensions/kn-plugins/func@1.14 1 0%
#22592 alvaro-aguirre-cl/esopipes/esopipe-amber 1 0%
#22593 takesxisximada/tap/ditaa 1 0%
#22594 cloudquery/tap/cloudquery@5.23.1 1 0%
#22595 dima424658/clang/tarantool@2.11 1 0%
#22596 morpheus-lab/morpheus-versions/morpheus@2.3.8 1 0%
#22597 koba-e964/tap/link-checker 1 0%
#22598 thimble-consulting/postgresql/postgresql@14 1 0%
#22599 hazelcast/hz/hazelcast@4.2020.12 1 0%
#22600 banisters/noah/noahstrap 1 0%
#22601 fujiwara/tap/maprobe 1 0%
#22602 light4/gcc_cross_compilers/i586-elf-gdb 1 0%
#22603 knight42/tap/kt 1 0%
#22604 johnedquinn/partiql/partiql-cli 1 0%
#22605 aws-cli-tools/aws-cli-tools/delete-bucket 1 0%
#22606 dawksh/kotaru/kotaru 1 0%
#22607 izettle/formulae/pcli 1 0%
#22608 alexandrevl/supersummarizeai/ssai 1 0%
#22609 krysia/old-formulas/libomp@11.1.0 1 0%
#22610 mrinjamul/main/gnote 1 0%
#22611 doodlescheduling/gh-package-retention/gh-package-retention 1 0%
#22612 nicerloop/nicerloop/windows-esd-to-iso 1 0%
#22613 fortify 1 0%
#22614 infisical/get-cli/infisical@0.22.3 1 0%
#22615 cdzombak/oss/macos-ups-mqtt-connector 1 0%
#22616 homebrew/linux-fonts/font-noto-sans-symbols-2 1 0%
#22617 eleanordoesntcode/tap/librespeed-cli 1 0%
#22618 calyptia/tap/calyptia@3.4.1 1 0%
#22619 mht208/formal/vampire 1 0%
#22620 elseano/taps/rundown 1 0%
#22621 jeremyherbert/avr/avr-gcc@14 1 0%
#22622 mattpolito/instantclient/instantclient-basic 1 0%
#22623 nicholasdille/tap/uidmap 1 0%
#22624 vanroy/microbox/microbox-cli 1 0%
#22625 yugabyte/tap/yb-voyager@1.6.1 1 0%
#22626 fluxcd/tap/gotk 1 0%
#22627 aa830/formulae/cube 1 0%
#22628 amar1729/gomuks/gomuks 1 0%
#22629 chleggett/gqrx/gqrx 1 0%
#22630 layotto/tap/layotto 1 0%
#22631 parsanoori/sumo/xerces-c 1 0%
#22632 jasonchoimtt/brewarounds/gnupg@2.2.21 1 0%
#22633 linuxbrew/fonts/font-et-book 1 0%
#22634 sjorek/php/composer24-php84 1 0%
#22635 schemesh 1 0%
#22636 hay-kot/flint-tap/flint 1 0%
#22637 waltarix/customs/tmux 1 0%
#22638 natejank/formulae/neovim-static 1 0%
#22639 shunirr/extract-chrome-storage/extract-chrome-storage 1 0%
#22640 hazelcast/hz/hazelcast-management-center@5.7.1 1 0%
#22641 pglt 1 0%
#22642 marwanhawari/tap/ppath 1 0%
#22643 aka-somix/eventbridge-gate/egate 1 0%
#22644 rrotter/tap/op 1 0%
#22645 zewo/tap/btls 1 0%
#22646 0xbe1/movement/movement 1 0%
#22647 russtone/sonar/sonar 1 0%
#22648 homebrew/linux-fonts/font-fantasque-sans-mono 1 0%
#22649 backbase/m/variants@1.3.0 1 0%
#22650 szampier/pipelines/esopipe-visir 1 0%
#22651 szampier/pipelines/esopipe-espda 1 0%
#22652 starkandwayne/cf/riff 1 0%
#22653 retronbv/homebrew/xcode-rpc 1 0%
#22654 0xmachos/mosl/mosl 1 0%
#22655 brotherbui/homebrew/localserver 1 0%
#22656 deliveroo/tools/roo-teams 1 0%
#22657 barredewe/prefire/prefire 1 0%
#22658 niklaspandersson/libplacebo/libplacebo 1 0%
#22659 aalmiray/tap/app 1 0%
#22660 dualstacks/tap/gitea-config-wave 1 0%
#22661 cloudquery/tap/cloudquery@4.0.0 1 0%
#22662 oven-sh/bun/bun@0.7.3 1 0%
#22663 blacktop/tap/hyd 1 0%
#22664 ucodia/tools/flowtime 1 0%
#22665 qri-io/qri/qri 1 0%
#22666 sclevine/tap/ztgrep 1 0%
#22667 timwehrle/asana-cli/asana-cli 1 0%
#22668 vine77/subs/subs 1 0%
#22669 pete911/tap/jwt 1 0%
#22670 brewsci/bio/rtg-tools 1 0%
#22671 logicer16/custom/test 1 0%
#22672 holtwick/formulae/onepile 1 0%
#22673 z80oolong/eaw/neomutt@20250113 1 0%
#22674 homebrew/cask/hugo@0.102.3 1 0%
#22675 clopenset/harbour/greet 1 0%
#22676 editline 1 0%
#22677 chapmanb/cbl/vep 1 0%
#22678 tjb/gofaux/gofaux 1 0%
#22679 homebrew/linux-fonts/font-manrope 1 0%
#22680 bupd/tap/harbor-cli 1 0%
#22681 shuntaka9576/tap/cal2prompt 1 0%
#22682 reonarudo/liblipgloss/liblipgloss 1 0%
#22683 chaosteil/tap/doist 1 0%
#22684 crossroadlabs/tap/libevhtp 1 0%
#22685 enapter/tap/enapter 1 0%
#22686 conductorone/baton/baton-bitbucket-datacenter 1 0%
#22687 clouddrove/tap/smurf 1 0%
#22688 lii-enac/repo/libivy 1 0%
#22689 crane-cloud/cranecloud-cli/cranecloud 1 0%
#22690 shalankwa/shalankwa/go-release-blueprint 1 0%
#22691 kong/konnect-orchestrator/konnect-orchestrator 1 0%
#22692 qemu-virgl 1 0%
#22693 chenrui333/tap/apkleaks 1 0%
#22694 chenrui333/tap/acronym 1 0%
#22695 obvgab/zathura/zathura 1 0%
#22696 grammarly/tap/rocker 1 0%
#22697 clojure/tools/clojure@1.10.3.810 1 0%
#22698 mopidy/mopidy/mopidy-podcast-itunes 1 0%
#22699 daviderestivo/uemacs/uemacs 1 0%
#22700 permify/tap-targe/targe 1 0%
#22701 k1low/tap/metr 1 0%
#22702 raphlcx/tap/mpv-light 1 0%
#22703 spotify/public/helios-solo 1 0%
#22704 pictl 1 0%
#22705 wtfox/tap/jsonfind 1 0%
#22706 rainiring/awn/awn 1 0%
#22707 abgeo/mailtm/mailtm 1 0%
#22708 ivanperez-keera/core/apron 1 0%
#22709 arpit-curve/free-memory/free_memory 1 0%
#22710 chyroc/tap/mdcat 1 0%
#22711 brewsci/bio/crumble 1 0%
#22712 cxwx/cxbrew/gorename 1 0%
#22713 kabel/pecl/php@8.1-igbinary 1 0%
#22714 jmoney8080/teleport/teleport@3.1 1 0%
#22715 brewdex/tfbox/tfbox 1 0%
#22716 fzlzjerry/chromium-detector/chromium-detector 1 0%
#22717 z80oolong/eaw/neomutt@20240329 1 0%
#22718 json-to-sql 1 0%
#22719 mcs07/cheminformatics/open-babel 1 0%
#22720 mimomimoto/tap/colormkdir 1 0%
#22721 llvm-hs/llvm/llvm-6.0 1 0%
#22722 riscv32-elf-gdb 1 0%
#22723 jbvmio/tap/kafkactl 1 0%
#22724 open-component-model/tap/ocm@0.20.0 1 0%
#22725 ventsislav-georgiev/k9s/k9s 1 0%
#22726 timharek/tap/migadu 1 0%
#22727 pagerduty/pd_brews/aws-okta 1 0%
#22728 matt-harvey/tap/swx 1 0%
#22729 bzimmer/tap/houdah 1 0%
#22730 aegoroff/tap/solt 1 0%
#22731 xpqz/dyalog/dyalog-tty 1 0%
#22732 chenrui333/tap/leetgo 1 0%
#22733 lgarron/lgarron/node_crunchule 1 0%
#22734 twam/openfpga/prjoxide 1 0%
#22735 udyrfrykte/udyrfrykte/p7z-tools 1 0%
#22736 cqlabs/dcm/dcm@1.25.1 1 0%
#22737 brandt/personal/awsresolver 1 0%
#22738 cloudquery/tap/cloudquery@5.3.0 1 0%
#22739 fasthttpd/fasthttpd/fasthttpd 1 0%
#22740 ofthoothrift 1 0%
#22741 onyx-hq/onyx/onyx 1 0%
#22742 jcserv/cask/sqli 1 0%
#22743 rvohealth/tools/ssm-tunnel-helper 1 0%
#22744 pete911/tap/aws-ip 1 0%
#22745 mdelapenya/cauldrongo-tap/cauldrongo 1 0%
#22746 chenrui333/tap/mdtopdf 1 0%
#22747 jesses-code-adventures/tap/excavator 1 0%
#22748 progrium/taps/httpfs 1 0%
#22749 nsis-dev/makensis/makensis@3.03 1 0%
#22750 lifepillar/appleii/apple2e 1 0%
#22751 glentner/tap/hyper-shell 1 0%
#22752 bukukasio/tools/lummo-sqlproxy 1 0%
#22753 dakra/tap/autoraise 1 0%
#22754 nkouevda/nkouevda/spongecase 1 0%
#22755 ceph-client-test.rb 1 0%
#22756 chenrui333/tap/nhost-cli 1 0%
#22757 remino/remino/rmnlogo 1 0%
#22758 eiiches/jackson-jq/jackson-jq 1 0%
#22759 cldcvr/tap/terrarium 1 0%
#22760 jeffrey-dev/riscv/riscv-gnu-toolchain 1 0%
#22761 itchyny/tap/mackerel-plugin-loadavg 1 0%
#22762 yuygfgg/vapoursynth/bestsource 1 0%
#22763 derekkwok/python/python26 1 0%
#22764 eliekh05/neit-tap/neit 1 0%
#22765 guardian/devtools/gu-vpn 1 0%
#22766 homebrew/linux-fonts/font-xits 1 0%
#22767 onfocusio/libs/rocksdb@8.1.1 1 0%
#22768 hjr3/curl-hyper/curl 1 0%
#22769 gasoid/photodumper/photodumper@1.1.3 1 0%
#22770 libepoxy-angle 1 0%
#22771 kreeblah/unrar-tap/unrar 1 0%
#22772 ojford/formulae/iosevka-generate 1 0%
#22773 gbeine/java/postgresql-jdbc 1 0%
#22774 boost@1.77 1 0%
#22775 ssfilatov/curl-c-ares/curl 1 0%
#22776 tgragnato/tap/terraform@1.10 1 0%
#22777 cockroachlabs/internal/su-cli 1 0%
#22778 melonmanchan/lr/lnr 1 0%
#22779 brewsci/bio/conform-gt 1 0%
#22780 s4na/airu/airu 1 0%
#22781 dpx/dpx/dpx 1 0%
#22782 f5devcentral/vesctl/vesctl 1 0%
#22783 liblwgeom 1 0%
#22784 gobuffalo/tap/buffalo-plugins 1 0%
#22785 bjasspa/jasspa/microemacs-spelling-ruru 1 0%
#22786 gigainfosystems/geolibs/gdal@3.5.3 1 0%
#22787 willfantom/dropbox-ignore/dropbox-ignore 1 0%
#22788 ichizero/tap/protoc-gen-connect-ktor 1 0%
#22789 microsoft/bedrock/bedrock-cli 1 0%
#22790 beliven-it/tap/tssh 1 0%
#22791 nestoca/public/jac 1 0%
#22792 brotherbui/homebrew/chntpw 1 0%
#22793 akovardakov/ak-tap/terraform 1 0%
#22794 stevenacoffman/keyfob/keyfob 1 0%
#22795 homebrew/cask/boost@1.79.0 1 0%
#22796 liuyadong/taps/hdf4 1 0%
#22797 holonoms/tap/sandworm 1 0%
#22798 v8-315 1 0%
#22799 staugur/tap/sapicli 1 0%
#22800 homebrew/linux-fonts/font-maple-mono 1 0%
#22801 intuis/tap/rustmission 1 0%
#22802 bkeane/tools/monad 1 0%
#22803 benjaminkitt/tap/shape-up-downloader 1 0%
#22804 kn1kn1/cfdg/cfdg 1 0%
#22805 nicerloop/nicerloop/inputsourceselector 1 0%
#22806 sho0pi/tap/tickli 1 0%
#22807 mryush/tap/hint 1 0%
#22808 19916302128/tccli/tccli 1 0%
#22809 retornam/tap/go-mod-upgrade 1 0%
#22810 mrtimp/formulas/aws-sso-config 1 0%
#22811 vvatanabe/gbch/gbch 1 0%
#22812 heavywatal/tap/tek2 1 0%
#22813 dalbertom/versions/tmux@3.4 1 0%
#22814 planetscale/tap/ps-singer-tap 1 0%
#22815 nicerloop/nicerloop/openwrt-configurator 1 0%
#22816 szma5a/tap/z5 1 0%
#22817 speakeasy-api/tap/speakeasy@1.333.0 1 0%
#22818 szampier/pipelines/esopipe-matisse 1 0%
#22819 szampier/pipelines/esopipe-harps 1 0%
#22820 linuxbrew/fonts/font-source-code-pro 1 0%
#22821 linuxbrew/fonts/font-roboto 1 0%
#22822 linuxbrew/fonts/font-atkinson-hyperlegible 1 0%
#22823 yuki56738/yuki/passgen 1 0%
#22824 d12frosted/emacs-plus/emacs-plus-local 1 0%
#22825 colinshr/core4/ffmpeg 1 0%
#22826 nostorg/nostr/nostr_console 1 0%
#22827 k14s/tap/ytt 1 0%
#22828 tommy-mor/thrift/thrift@0.13 1 0%
#22829 markbhasawut/markbhasawut/gcc@15 1 0%
#22830 pulck/mpvdeps/icu4c@76 1 0%
#22831 pulck/mpvdeps/graphite2 1 0%
#22832 codecademy-engineering/bootstrap/kubernetes-cli@1.29 1 0%
#22833 twilio/brew/twilio@5.18.0 1 0%
#22834 brianm/tools/jp 1 0%
#22835 rymdport 1 0%
#22836 brewsci/bio/solexaqa 1 0%
#22837 pdf2htmlex/brewtap/pdf2htmlex-new 1 0%
#22838 digitalspacestdio/common/libxslt@1.9-icu4c.69.1 1 0%
#22839 digitalspacestdio/common/libxml2@2.8-icu4c.69.1 1 0%
#22840 leoafarias/fvm/fvm@3.0.13 1 0%
#22841 gabrielfn-vcs/taps/maven-noopenjdk 1 0%
#22842 pprecel/tap/pkup-gen 1 0%
#22843 wakatime/tap/gon 1 0%
#22844 shuhaku/shuhaku/shuhaku 1 0%
#22845 selimsandal/cs240ozu/riscv-pk 1 0%
#22846 selimsandal/cs240ozu/riscv-isa-sim 1 0%
#22847 z80oolong/eaw/neomutt@20241002 1 0%
#22848 z80oolong/eaw/neomutt@20240416 1 0%
#22849 openkj/openkj/openkj 1 0%
#22850 unkcpz/tap/rsdos 1 0%
#22851 streamingfast/tap/substreams-sink-sql 1 0%
#22852 envkey/envkey/envkey-source 1 0%
#22853 blockfrost/tap/blockfrost-platform 1 0%
#22854 linuxbrew/xorg/xmessage 1 0%
#22855 noahgorstein/tap/dog-watcher 1 0%
#22856 kyoh86/tap/gogh 1 0%
#22857 brotherbui/homebrew/speedtest 1 0%
#22858 elastic/tap/packetbeat-oss 1 0%
#22859 bayer-int/cs/mmc-tt-cli 1 0%
#22860 platogo/tap/atoss-cli 1 0%
#22861 jenkins-infra/tap/uc 1 0%
#22862 randymcmillan/randymcmillan/async_usage 1 0%
#22863 gabe565/tap/changelog-generator 1 0%
#22864 modal 1 0%
#22865 astronomer/tap/astro@1.33.0 1 0%
#22866 launchdarkly/dev-tools/okta-auth-cli 1 0%
#22867 freightscience/internal/fs-dev-tools 1 0%
#22868 mkdika/brew/lff 1 0%
#22869 neved4/tap/regular 1 0%
#22870 skcin7/php/php@8.2-debug-zts 1 0%
#22871 veerendra2/tap/funmotd 1 0%
#22872 meetup/tools/rebazel 1 0%
#22873 mikelorant/tap/devmoji 1 0%
#22874 thoran/tap/skyhooks 1 0%
#22875 picodata/tap/picodata@24.1 1 0%
#22876 chenrui333/tap/pdfly 1 0%
#22877 shopify/shopify/lua-nginx-module 1 0%
#22878 homebrew/cask/openapi-generator@5.4.0 1 0%
#22879 psidonfn/tap/jokebot 1 0%
#22880 brewsci/bio/libdivsufsort 1 0%
#22881 dart-lang/dart/dart@2 1 0%
#22882 m2700/tap/parlay 1 0%
#22883 seike460/s3ry/s3ry 1 0%
#22884 ianmclinden/extras/himbsay 1 0%
#22885 dhcp_brew 1 0%
#22886 kabel/pecl/php@8.1-grpc 1 0%
#22887 simmel/irssi/irssi-xmpp 1 0%
#22888 mikestankavich/taps/kubefirst 1 0%
#22889 hantao-zhou/mactools/replace 1 0%
#22890 clojure/tools/clojure@1.10.1.590 1 0%
#22891 mapmap 1 0%
#22892 clintonsteiner/mkdocs-material/dagger-io 1 0%
#22893 gemnasium/gemnasium/gemnasium-toolbelt 1 0%
#22894 jeffreywildman/virt-manager/virt-manager 1 0%
#22895 homebrew/linux-fonts/font-roboto-serif 1 0%
#22896 echovault/sugardb/sugardb 1 0%
#22897 devmegablaster/devmegablaster/jatt 1 0%
#22898 ozcap/bsync/bsync 1 0%
#22899 lmika/day-one-to-hugo/day-one-to-hugo 1 0%
#22900 mht208/formal/cvc5 1 0%
#22901 barkingfoodog/nginx/nginx-full 1 0%
#22902 moul/moul/sshportal 1 0%
#22903 redis-developer/tap/riot-redis 1 0%
#22904 brewsci/bio/concavity 1 0%
#22905 lunatic-cat/tap/ipfs-cluster-ctl 1 0%
#22906 19916302128/tccli/tccli-intl 1 0%
#22907 collingray/tap/dndtrigger 1 0%
#22908 gtaylor/baymesh/baymesh 1 0%
#22909 lentscode/maya/maya 1 0%
#22910 kabel/pecl/php@8.3-sqlsrv 1 0%
#22911 tierrun/tap/tier 1 0%
#22912 eval/brew/bbang 1 0%
#22913 ioma8/rsii/rsii 1 0%
#22914 rjollos/repo/swiftlint@0.51.0 1 0%
#22915 soriur/brew/mutanus 1 0%
#22916 docker-exec/formula/dexec 1 0%
#22917 tsukinoko-kun/tap/zzh 1 0%
#22918 homebrew-unofficial/pageres-cli/pageres-cli 1 0%
#22919 brewsci/bio/gtk4@4.14.4 1 0%
#22920 but80/tap/smaf825 1 0%
#22921 maven@3.3 1 0%
#22922 rickard-von-essen/formulae/hid_listen 1 0%
#22923 html2md 1 0%
#22924 brewsci/bio/dida 1 0%
#22925 conductorone/baton/baton-slack 1 0%
#22926 conductorone/baton/baton-linear 1 0%
#22927 cartavis/tap/xxhash 1 0%
#22928 nicerloop/nicerloop/hclfmt 1 0%
#22929 opsly/cli/opsly 1 0%
#22930 mht208/formal/glucose 1 0%
#22931 dmofot/tap/iterm2-images 1 0%
#22932 athrunsun/linuxbinary/azul-zulu-jdk 1 0%
#22933 pulck/mpvdeps/libplacebo 1 0%
#22934 pulck/mpvdeps/shaderc 1 0%
#22935 pulck/mpvdeps/xinput 1 0%
#22936 pulck/mpvdeps/libxi 1 0%
#22937 pulck/mpvdeps/zlib 1 0%
#22938 rrotter/useful/bolt 1 0%
#22939 pulck/mpvdeps/speex 1 0%
#22940 catflyflyfly/playground/envoy 1 0%
#22941 pulck/mpvdeps/libvorbis 1 0%
#22942 dduan/formulae/tre 1 0%
#22943 k-nasa/tap/rgh 1 0%
#22944 k-nasa/tap/prf 1 0%
#22945 ramiawar/superpet/superpet 1 0%
#22946 k3ii/tap/git-cz 1 0%
#22947 askgitdev/askgit/mergestat 1 0%
#22948 homebrew/cask/k9s@0.25.18 1 0%
#22949 richardjbaker/core/openjdk@11 1 0%
#22950 shuuuuun/tap/brew-npm 1 0%
#22951 flomesh-io/fsm/fsm 1 0%
#22952 mingkyme/typora-uploader/typora-uploader 1 0%
#22953 arthurk/virt-manager/virt-manager 1 0%
#22954 papucci/hep/topdrawer 1 0%
#22955 buddy/bdy/bdy-master 1 0%
#22956 xvoland/shuffle-files/shuffle-files 1 0%
#22957 omissis/goarkitect/goarkitect 1 0%
#22958 synchronal/tap/medic-bash 1 0%
#22959 openaq/tap/openaq-cli 1 0%
#22960 cartavis/tap/rsync 1 0%
#22961 octave-app/octave-app/octave-octapp@9.2.0 1 0%
#22962 unistorecom/unistore/unistore-cli 1 0%
#22963 ensembl/moonshine/repbase 1 0%
#22964 divadretlaw/tap/very 1 0%
#22965 afiller/pathmate/readline@8.1.2 1 0%
#22966 patrickdappollonio/tap/find-project 1 0%
#22967 patrickdappollonio/tap/dotenv 1 0%
#22968 manelatun/catalina/unbound 1 0%
#22969 baskerville/formulae/sxhkd 1 0%
#22970 manelatun/catalina/z3 1 0%
#22971 hazelcast/hz/hazelcast-enterprise@5.3.7 1 0%
#22972 jakewharton/repo/ui-spy 1 0%
#22973 shivangp76/zathura/zathura-ps 1 0%
#22974 homebrew/php/php@7.3 1 0%
#22975 tomsdoo/brew/use-gist 1 0%
#22976 hack-different/jailbreak/frida-tools 1 0%
#22977 cloudquery/tap/cloudquery@6.12.6 1 0%
#22978 kabel/pecl/php-pdo-sqlsrv 1 0%
#22979 on3iro/zentime/zentime 1 0%
#22980 defenseunicorns/tap/zarf@0.46.0 1 0%
#22981 mistydemeo/digipres/fluxengine 1 0%
#22982 brewsci/science/glimmerhmm 1 0%
#22983 llvm@20 1 0%
#22984 philrz/tap/zync 1 0%
#22985 litencatt/tap/uniar 1 0%
#22986 yourbase/yourbase/yb 1 0%
#22987 akinokaede/asport/asport-client 1 0%
#22988 korbexmachina/tap/roll 1 0%
#22989 suzuki-shunsuke/ghproj/ghproj 1 0%
#22990 typinghare/burrow/burrow 1 0%
#22991 tech-thinker/tap/gnote 1 0%
#22992 danzigergeist/core/go-critic 1 0%
#22993 solarturtle/solarturtle/chbspasswd 1 0%
#22994 orbstack 1 0%
#22995 jydu/biopp/libbpp-phyl-omics 1 0%
#22996 legaard/legaard/uuidy 1 0%
#22997 infisical/get-cli/infisical@0.23.1 1 0%
#22998 oven-sh/bun/bun@1.1.19 1 0%
#22999 zoq/mlpack/mlpack-nightly 1 0%
#23000 gmrandazzo/gmr/metapixel 1 0%
#23001 viamrobotics/brews/suite-sparse@7.1 1 0%
#23002 yanosea/tap/mindnum 1 0%
#23003 zricethezav/gitleaks/gitleaks 1 0%
#23004 tonyparkerspb/flatcam/flatcam-beta 1 0%
#23005 saltbo/bin/rslocal 1 0%
#23006 noqqe/tap/serra 1 0%
#23007 jjcfrancisco/yeo/yeo 1 0%
#23008 arbourd/tap/trash 1 0%
#23009 ergo 1 0%
#23010 backbase/m/variants@1.1.2 1 0%
#23011 todor-a/tap/tidy-json 1 0%
#23012 twilio/brew/twilio@5.15.0 1 0%
#23013 lgarron/lgarron/wat 1 0%
#23014 leberjs/tools/pebble 1 0%
#23015 homebrew/cask-versions/openssh@9.4p1 1 0%
#23016 microsoft/mssql-tools/mssql-tools18 1 0%
#23017 proximie/xra/xra 1 0%
#23018 perf_data_converter 1 0%
#23019 anmho/taps/anmho 1 0%
#23020 mmocchi/hotspot-analyzer/hotspot-analyzer 1 0%
#23021 priyabratamo/tasks/tasks 1 0%
#23022 pivotal-legacy/tap/pivnet-cli 1 0%
#23023 turbot/tap/steampipe@0.16.4 1 0%
#23024 jtyr/repo/gbt-git 1 0%
#23025 aserto-dev/tap/sver 1 0%
#23026 autonomic-ai/autools/trufflehawk 1 0%
#23027 acme-client 1 0%
#23028 kabel/pecl/php@8.3-igbinary 1 0%
#23029 chigraph/kf5/kf5-kdnssd 1 0%
#23030 bourbxn/fogrn/fogrn 1 0%
#23031 homburg/tap/git-get 1 0%
#23032 chigraph/kf5/kf5-kconfigwidgets 1 0%
#23033 gdanko/homebrew/dfimage 1 0%
#23034 pulit/dav1d/dav1d 1 0%
#23035 pagefind 1 0%
#23036 clairvoyance 1 0%
#23037 chenrui333/tap/autotools-language-server 1 0%
#23038 karino2/tap/mdvtbl 1 0%
#23039 cartavis/tap/hwloc 1 0%
#23040 danielefongo/formula-example/random-app 1 0%
#23041 xcode-actions/tap/swift-sh 1 0%
#23042 pachyderm/tap/pachctl@2.6 1 0%
#23043 discrakt 1 0%
#23044 chawyehsu/brew/moonup 1 0%
#23045 kemal 1 0%
#23046 kandji-inc/tap/ktsh 1 0%
#23047 mdnoga/pentesting/dnsrecon 1 0%
#23048 mdnoga/pentesting/netdiscover 1 0%
#23049 ivonet/cli/reset-wifi 1 0%
#23050 mjakeman/gnome/rnote 1 0%
#23051 raffis/rageta/rageta 1 0%
#23052 prongbang/formulae/icongen 1 0%
#23053 roidocampo/personal/pulp 1 0%
#23054 datadog/datadog-agent-macos-build/gnupg@1.4.23 1 0%
#23055 defenseunicorns/tap/zarf@0.32.5 1 0%
#23056 nicholasdower/tap/git-coauthor 1 0%
#23057 nicholasdower/tap/join 1 0%
#23058 wandera/wandera/kubecrt 1 0%
#23059 alphagov/gds/govuk-connect 1 0%
#23060 square/formula/qcom-dl 1 0%
#23061 fairwindsops/tap/bif 1 0%
#23062 dbt-labs/dbt/dbt-bigquery@1.3.0-b1 1 0%
#23063 tavispalmer/kde/kdbusaddons 1 0%
#23064 deus0ww/tap/molten-vk 1 0%
#23065 noqcks/xeol/xeol 1 0%
#23066 tavispalmer/kde/attica 1 0%
#23067 oame/nlp/julius-dictation-kit 1 0%
#23068 boertel/tap/gite 1 0%
#23069 sl4m/rust-crate/brew-crate 1 0%
#23070 octave-app/octave-app/qt_6_6 1 0%
#23071 nint8835/formulae/borik-meta 1 0%
#23072 geffersonferraz/mgc/mgccli 1 0%
#23073 robotraconteur/robotraconteur/robotraconteur 1 0%
#23074 sidney/perl/perl@5.18 1 0%
#23075 heroesofcode/taps/swiftfiletools 1 0%
#23076 darmiel/nudge/nudge 1 0%
#23077 bzimmer/tap/gravl 1 0%
#23078 kha7iq/tap/ncp 1 0%
#23079 ep-nelsonqiao/smc-upgrader/smc-upgrader 1 0%
#23080 wang-q/tap/trf@4 1 0%
#23081 bgrewell/tap/commander 1 0%
#23082 isacikgoz/taps/gitbatch 1 0%
#23083 akatmks/vapoursynth-plugins/vapoursynth-mlrt-onnxruntime 1 0%
#23084 rkabrick/sst/sst@14.0.0 1 0%
#23085 icu4c@62.1 1 0%
#23086 alfg/tap/asciicat 1 0%
#23087 johnsonfash/tap/env2 1 0%
#23088 bzimmer/tap/semver 1 0%
#23089 koki-develop/tap/gotrash 1 0%
#23090 homebrew/linux-fonts/font-latin-modern 1 0%
#23091 brewsci/base/boost-rstudio-server 1 0%
#23092 quintia/mecab/mecab-jumandic-utf8 1 0%
#23093 null93/tap/kube-switch 1 0%
#23094 goliatone/tap/lgr 1 0%
#23095 egeniq/app-utilities/care 1 0%
#23096 spmdestinations/tap/swift-lambda 1 0%
#23097 txn2/tap/pvctl 1 0%
#23098 yendefrr/tap/sql-alerts 1 0%
#23099 phantomjs@2.1.1 1 0%
#23100 amazeeio/cachalot/cachalot 1 0%
#23101 parkerdiamond/gf2x/gf2x 1 0%
#23102 alissss1/mm1m1/mason 1 0%
#23103 domain-sandbox/aws-sso-profile/aws-sso-profile 1 0%
#23104 giphy/tap/kraken 1 0%
#23105 denji/nginx/nchan-nginx-module 1 0%
#23106 kakoune-lsp 1 0%
#23107 haensl/haensl/mov2gif 1 0%
#23108 oven-sh/bun/bun@1.0.28 1 0%
#23109 wang-q/tap/mash@2.3 1 0%
#23110 defenseunicorns/tap/zarf@0.44.0 1 0%
#23111 aziontech/tap/azioncli 1 0%
#23112 orf/brew/ptail 1 0%
#23113 flschweiger/flutter/flutter 1 0%
#23114 emin017/gtkwave/gtkwave 1 0%
#23115 caius/tap/nomctx 1 0%
#23116 peng1999/personal-tap/ossutil 1 0%
#23117 k1low/tap/evry 1 0%
#23118 crun017 1 0%
#23119 hpx 1 0%
#23120 pkgctl/pkgctl/pkgctl 1 0%
#23121 bhacaz/tap/gostacking 1 0%
#23122 gtalusan/gn/gn 1 0%
#23123 uhg-internal/usp/keynoodle 1 0%
#23124 andreoliwa/formulae/nitpick 1 0%
#23125 weebney/inblog/inblog 1 0%
#23126 rcmdnk/rcmdnkpac/sd_cl 1 0%
#23127 goldenwind/brew/windkit 1 0%
#23128 weaveworks/tap/eksctl@0.160.0 1 0%
#23129 jahvon/flow/flow 1 0%
#23130 ascii-dresden/formulae/asciii 1 0%
#23131 scstechr/gch/gch 1 0%
#23132 rafifos/tap/android-sdk-platform-tools 1 0%
#23133 redpeacock78/gemster/gemster 1 0%
#23134 cemelo/tap/qt-ads 1 0%
#23135 noqqe/tap/veilig 1 0%
#23136 carlocab/personal/pyyaml 1 0%
#23137 axodotdev/tap/grit 1 0%
#23138 brewsci/science/adapterremoval 1 0%
#23139 stabilitymatrix 1 0%
#23140 wealthsimple/tap/wolfman 1 0%
#23141 xwmx/taps/bask 1 0%
#23142 randymcmillan/randymcmillan/lightning-search_dashboard 1 0%
#23143 vostok-space/oberon/odcey 1 0%
#23144 heroku/bew/heroku 1 0%
#23145 domt4/crypto/boringssl 1 0%
#23146 nicerloop/nicerloop/opc-diag 1 0%
#23147 zathura-tororosoba0534 1 0%
#23148 fireping32/brew-pkg/brew-pkg 1 0%
#23149 brewsci/science/freec 1 0%
#23150 open-component-model/tap/mpas 1 0%
#23151 tsirysndr/tap/kickasstorrent 1 0%
#23152 drasyl/tap/drasyl 1 0%
#23153 kurtosis-tech/tap/kurtosis-cli@0.89.3 1 0%
#23154 thekuwayama/mysql/mysql 1 0%
#23155 keinos/apps/go-pallet 1 0%
#23156 gmerlino/vlc/vlc 1 0%
#23157 steven-ireland/tap/spam 1 0%
#23158 homebrew/cask/tmux@3.1c 1 0%
#23159 goatapp/infra/dunk-dev 1 0%
#23160 oscaro/public/edn2json 1 0%
#23161 qemu9.1 1 0%
#23162 putty74 1 0%
#23163 datadog/tap/to-prod 1 0%
#23164 sequenia/formulae/figma-export 1 0%
#23165 datadog/tap/kubectl-template 1 0%
#23166 schaecsn/tap/xv 1 0%
#23167 kenichi-asai/formula/ochacaml 1 0%
#23168 linuxbrew/fonts/font-meslo-for-powerline 1 0%
#23169 yukaii/tap/simple-completion-language-server 1 0%
#23170 sun 1 0%
#23171 i0ek3/p2i/p2i 1 0%
#23172 yugabyte/tap/sysbench 1 0%
#23173 xvxx/code/shy 1 0%
#23174 dustinwilson/tap/docopts 1 0%
#23175 sjorek/php/composer25-php81 1 0%
#23176 faithoflifedev/yt/yt 1 0%
#23177 vague2k/vague2k/smv 1 0%
#23178 quarterheaven/selfuse/openssl@3 1 0%
#23179 redhat-cop/redhat-cop/jcliff 1 0%
#23180 difaagh/mcrypt/mcrypt 1 0%
#23181 jvanbuel/pattrick/pattrick 1 0%
#23182 szampier/pipelines/esopipe-muse-datastatic 1 0%
#23183 conjurinc/tools/summon 1 0%
#23184 easytocloud/tap/iamhere 1 0%
#23185 richardjennings/experimental/totp 1 0%
#23186 infisical/get-cli/infisical@0.16.4 1 0%
#23187 vetlewi/formula/tefal 1 0%
#23188 nickolasburr/pfa/git-follow 1 0%
#23189 weaveworks/tap/eksctl@0.176.0 1 0%
#23190 handofgod94/tap/kafkatail 1 0%
#23191 infisical/get-cli/infisical@0.31.4 1 0%
#23192 nintexplatform/versions/helm@3.7.0 1 0%
#23193 bees-hive/hive/elegant-git 1 0%
#23194 sonnet 1 0%
#23195 kxmlgui 1 0%
#23196 muandane/tap/cloudcost 1 0%
#23197 cppfw/tap/libtml 1 0%
#23198 knotifyconfig 1 0%
#23199 jondot/tap/dg 1 0%
#23200 ibehnam/packages/autocisco 1 0%
#23201 cylinder3/cc/naiveproxy4 1 0%
#23202 chmarax/chmarax/notidb 1 0%
#23203 dpo/openblas/superlu_dist 1 0%
#23204 mikelorant/custom/dog 1 0%
#23205 manelatun/bottles/node 1 0%
#23206 tesh254/migraine/migraine 1 0%
#23207 redcode/zxe/zxspectrum-firmware 1 0%
#23208 avencera/tap/yamine 1 0%
#23209 xyfsjq/thrift/thrift@0.18.1 1 0%
#23210 manelatun/bottles/openjdk@8 1 0%
#23211 rencfs 1 0%
#23212 lucydodo/tap/db4sqt@5 1 0%
#23213 adamtheturtle/doccmd/doccmd 1 0%
#23214 stevedylandev/mast-cli/mast-cli 1 0%
#23215 chigraph/kf5/kf5-kwallet 1 0%
#23216 chigraph/kf5/kf5-knewstuff 1 0%
#23217 atomminer/atomminer-cli/atomminer-cli 1 0%
#23218 leozz37/hare/hare 1 0%
#23219 nrodichenko/px4/px4-sim-jmavsim 1 0%
#23220 rdimitrov/tap/tuf-client 1 0%
#23221 lucas-albers-lz4/python-m1/python@3.13 1 0%
#23222 maztheman/ymuse/ymuse 1 0%
#23223 ynqa/tap-archived/diffy 1 0%
#23224 x265@3.6 1 0%
#23225 rattatap/tap/fwknop-client 1 0%
#23226 x265@3 1 0%
#23227 rajnandan1/rajnandan/okgit 1 0%
#23228 zhangxavier/xtaps/screenfetch 1 0%
#23229 mconfalonieri/sadplay/sadplay 1 0%
#23230 bucketplace/platform/mortar@2.2 1 0%
#23231 digitalspacestdio/php/php72-xhprof 1 0%
#23232 humio/humio/humio 1 0%
#23233 asyncssh 1 0%
#23234 rittikdasgupta/testtap/atlan 1 0%
#23235 eblot/dvb/dvbsnoop 1 0%
#23236 bendingspoons/tap/winston@27 1 0%
#23237 davenicholson-xyz/tap/wallheaven 1 0%
#23238 jimwbaldwin/yawsso/yawsso 1 0%
#23239 llimllib/whisper/blisper 1 0%
#23240 fathallatechops/aws-scripts/aws-scripts 1 0%
#23241 speakeasy-api/tap/speakeasy@1.280.1 1 0%
#23242 gmrandazzo/gmr/libscientific 1 0%
#23243 10sr/pkg/bin 1 0%
#23244 cylinder3/cc/hysteria21 1 0%
#23245 xcoo/formulae/cljam 1 0%
#23246 shchuko/qemu-macguest/qemu 1 0%
#23247 streamingfast/tap/substreams-sink-files 1 0%
#23248 chenpeizhi/zathura/zathura-cb 1 0%
#23249 chenpeizhi/zathura/zathura-pdf-poppler 1 0%
#23250 lucydodo/tap/db4ssqlcipher 1 0%
#23251 sugarsweetrobotics/openrtm-aist/omniorb-python@4.2.2 1 0%
#23252 automattic/build-tools/hostmgr 1 0%
#23253 janboeye/lemon-graph/lemon-graph 1 0%
#23254 the-unknownhacker/ember/ember 1 0%
#23255 brewsci/bio/trimadap 1 0%
#23256 drossan/tools/list-dir 1 0%
#23257 nozomi-hijikata/ivc/ivc 1 0%
#23258 budrick/misc/libmxml@3.3.1 1 0%
#23259 mongodb/brew/mongosh 1 0%
#23260 therichteam/therich/therich-develop-tool 1 0%
#23261 excoriate/tap/aws-taggy 1 0%
#23262 maswag/scientific/phaverlite 1 0%
#23263 comigo 1 0%
#23264 bl4cc4t/vsplugins/vsp-d2vsource 1 0%
#23265 cppfw/tap/libsvgren 1 0%
#23266 sugarsweetrobotics/openrtm-aist/openrtm-aist 1 0%
#23267 brain-brew 1 0%
#23268 xpa 1 0%
#23269 stask/polylith/poly 1 0%
#23270 maxim-belkin/xorg/intel-media-driver 1 0%
#23271 maxim-belkin/xorg/libva-intel-driver 1 0%
#23272 kouzoh/tap/merctl 1 0%
#23273 digitalspacestdio/php/libpq@16.2-icu4c.69.1 1 0%
#23274 bdeb1337/custom/dsc 1 0%
#23275 fishnsotong/old-formulae/open-mpi@4.1.6 1 0%
#23276 timescam/tap/pokeget 1 0%
#23277 synchronal/tap/medic-ext-tool-versions 1 0%
#23278 nometrii/retrospec-tap/retrospec 1 0%
#23279 oven-sh/bun/bun@0.1.6 1 0%
#23280 homebrew/services/leveldb@1.22 1 0%
#23281 heyweek/heyweek-cli/heyweek-cli 1 0%
#23282 chasestubblefield/brew/tex-fmt 1 0%
#23283 lgarron/lgarron/tailscale-exit-node 1 0%
#23284 fortio/tap/brick 1 0%
#23285 lfaoro/tap/troca 1 0%
#23286 aconchillo/guile/guile-coap 1 0%
#23287 nrobinson2000/po/po 1 0%
#23288 zurawiki/brews/gptee 1 0%
#23289 azure-pim-cli 1 0%
#23290 superbet-group/tap/betssm 1 0%
#23291 lgarron/lgarron/audio 1 0%
#23292 zentracker 1 0%
#23293 nicerloop/nicerloop/qrscan 1 0%
#23294 kishaningithub/tap/rdapp 1 0%
#23295 arundhutisf/semgrep1.61.1/semgrep 1 0%
#23296 vanta-enrollment/vanta/vanta_darwin_x64_v2.0.5 1 0%
#23297 homebrew/linux-fonts/font-meslo-for-powerline 1 0%
#23298 dfp-math-lib 1 0%
#23299 dustinfarris/emacs-plus/emacs-plus@30 1 0%
#23300 defenseunicorns/tap/zarf@0.37.0 1 0%
#23301 smillerdev/php-ext/decomposer 1 0%
#23302 eengl/nceplibs/nceplibs-bufr 1 0%
#23303 onlynone/tap/dive@0.14.0 1 0%
#23304 reitermarkus/tap/dokku 1 0%
#23305 glennakamura/repo/pcscd 1 0%
#23306 brianp/muxed/muxed_bin 1 0%
#23307 whimco-studio/whim/whim 1 0%
#23308 bl4cc4t/vsplugins/vsp-addgrain 1 0%
#23309 alvaro-aguirre-cl/esopipes/esopipe-uves-datastatic 1 0%
#23310 cacapouh/jsonfmt/jsonfmt 1 0%
#23311 cedric0303/tap/hkid 1 0%
#23312 homebrew/linux-fonts/font-forum 1 0%
#23313 navanchauhan/tap/qt@4 1 0%
#23314 homebrew/linux-fonts/font-lxgw-bright 1 0%
#23315 jackpot 1 0%
#23316 wiki2beamer 1 0%
#23317 openrtm/openrtm2/openrtm2-py38 1 0%
#23318 clojure/tools/clojure@1.10.1.528 1 0%
#23319 divoxx/brewery/muggler 1 0%
#23320 rcmdnk/rcmdnkpac/shell-explorer 1 0%
#23321 mzdyl/fuse/bindfs-mac 1 0%
#23322 hashicorp/security/doormat-cli 1 0%
#23323 themightygit/rssole/rssole 1 0%
#23324 crypto-org-chain/chain-maind/chain-maind@1.2.2 1 0%
#23325 saindriches/vapoursynth/delogohd 1 0%
#23326 eos/eos/boost-python3.12@1.85 1 0%
#23327 kishaningithub/tap/dynamodb-backup-restore 1 0%
#23328 craftypath/tap/nextver 1 0%
#23329 takesxisximada/tap/poppler 1 0%
#23330 homebrew/linux-fonts/font-alumni-sans-sc 1 0%
#23331 hasktorch/libtorch-prebuild/libtorch-prebuild 1 0%
#23332 rossedman/tap/showit 1 0%
#23333 friendly-fhir/tap/fhenix 1 0%
#23334 open-store/open-tap/open-cli 1 0%
#23335 taglib-static 1 0%
#23336 coin-or-tools/coinor/coin_data_miplib3 1 0%
#23337 weaveworks/tap/eksctl@0.199.0 1 0%
#23338 vigo/statoo/statoo 1 0%
#23339 vinugawade/cli-tools/gitswap 1 0%
#23340 gromgit/core/libiscsi 1 0%
#23341 tomsdoo/brew/use-keychain 1 0%
#23342 symopsio/tap/symflow@5.2.2 1 0%
#23343 stangirard/tap/pricy 1 0%
#23344 jittering/kegs/elapsed 1 0%
#23345 wim-vdw/tap/todo-cli 1 0%
#23346 rsvihladremio/ddc/ddc 1 0%
#23347 eclipse-zenoh/zenoh/zenoh-backend-influxdb-v2 1 0%
#23348 finzzz/brew/oapi-codegen 1 0%
#23349 cloudfoundry-community/cf/uaa-cli 1 0%
#23350 automattic/build-tools/drawtext 1 0%
#23351 globalblackbox/tap/gbx 1 0%
#23352 bwagner5/wagner/inflate 1 0%
#23353 homebrew/core_bak/postgresql@14 1 0%
#23354 dbt-labs/dbt/dbt@0.8.3 1 0%
#23355 icecube/icecube/pythia6 1 0%
#23356 homebrew/bundle/ghostscript@9.26 1 0%
#23357 freecad/freecad/ondselsolver 1 0%
#23358 aerobounce/tap/ds 1 0%
#23359 mocker 1 0%
#23360 allex/spush/spush 1 0%
#23361 caiorodriguez/repo/openssl@1.1.rb 1 0%
#23362 obsproject/tools/clang-format@14 1 0%
#23363 obsproject/tools/clang-format@15 1 0%
#23364 draganm/tools/rpcrequest 1 0%
#23365 x13a/tap/fschk 1 0%
#23366 linuxbrew/fonts/font-inconsolata 1 0%
#23367 k3ii/tap/kouran 1 0%
#23368 patthemav/custom/clang-format@17 1 0%
#23369 ampersandhq/php/amp-php@7.3-xdebug 1 0%
#23370 vassilispallas/tap/gvs 1 0%
#23371 atlanticaccent/formulae/lld@19.1.5 1 0%
#23372 kevinm6/battery-cli/battery-cli 1 0%
#23373 mrinjamul/main/sws 1 0%
#23374 iltommi/brews/sshpass 1 0%
#23375 kayac/tap/ecspresso@1.99 1 0%
#23376 cfacorp/tap/dxectl 1 0%
#23377 himarley/main/himarley-tflocal 1 0%
#23378 diasjorge/tap/roly 1 0%
#23379 aconchillo/guile/guile-dbi 1 0%
#23380 homebrew/linux-fonts/font-noto-sans-cjk-jp 1 0%
#23381 pablopunk/formulae/nos 1 0%
#23382 spandigital/tap/html2md 1 0%
#23383 k2da/tap/ngydv 1 0%
#23384 danielbair/tap/soupsieve 1 0%
#23385 mht208/formal/ltl2ba 1 0%
#23386 robinlieb/brew/input-source-manager-cli 1 0%
#23387 commercetools/tap/kubegen 1 0%
#23388 sjorek/php/composer22-php81 1 0%
#23389 mr687/lazycopilot/lazycopilot 1 0%
#23390 strafe/tap/cs-panes 1 0%
#23391 codeanish/pomo-cli/pomo-cli 1 0%
#23392 sidaf/pentest/wifite 1 0%
#23393 go-vela/vela/vela@0.25 1 0%
#23394 dekker1/minizinc/yuck 1 0%
#23395 gtksourceviewmm 1 0%
#23396 z80oolong/fonts/udev-gothic 1 0%
#23397 brewsci/science/openfst 1 0%
#23398 rinkiyakedad/rinkiyakedad/kube-manager 1 0%
#23399 drashna/qmk/qmk 1 0%
#23400 yugabyte/tap/yb-voyager@1.8.5-rc1 1 0%
#23401 whisp 1 0%
#23402 dashlane-cli 1 0%
#23403 tditlu/amiga/shadetabler 1 0%
#23404 rajrohanyadav/rajrohanyadav/dtx 1 0%
#23405 el7cosmos/brew/talenta 1 0%
#23406 sweetkane/tap/artscii 1 0%
#23407 imfing/tap/gptui 1 0%
#23408 brewforge/chinese/reportgen 1 0%
#23409 dlr-ts/sumo/sumo@1.14.0 1 0%
#23410 square/formula/p2 1 0%
#23411 tamada/tap/rrh 1 0%
#23412 ariqpradipa/mac-user-role/mac-user-role 1 0%
#23413 stek29/idevice/libipatcher 1 0%
#23414 infisical/get-cli/infisical@0.12.3 1 0%
#23415 ricky840/akasdig/sdig 1 0%
#23416 teamguilded/repo/yarn@1.22.11 1 0%
#23417 pivotal/tap/gemfire@9.9 1 0%
#23418 eksctl-pre-relase 1 0%
#23419 cloud-v/icestorm/arachne-pnr 1 0%
#23420 clevyr/tap/yampl 1 0%
#23421 nextdoor/brewtap/mosh@0.0.1 1 0%
#23422 romankurnovskii/awesome-brew/lua@5.2 1 0%
#23423 romankurnovskii/awesome-brew/keyring 1 0%
#23424 zhongruoyu/test/hello 1 0%
#23425 sampointer/digaz/digaz 1 0%
#23426 fritshoogland-yugabyte/yb_stats/yb_stats 1 0%
#23427 segmentio/packages/robo-tooling 1 0%
#23428 systemc-ams 1 0%
#23429 mc-rtc/mc-rtc/tasks 1 0%
#23430 davep/homebrew/pispy 1 0%
#23431 denji/nginx/var-req-speed-nginx-module 1 0%
#23432 0x1306a94/tap/tencent-mars-xlog-util 1 0%
#23433 dgroomes/playground/hello-world 1 0%
#23434 toit 1 0%
#23435 mrpans/csv2xls/csv2xls 1 0%
#23436 homebrew/linux-fonts/font-charter 1 0%
#23437 hupe1980/gotoaws/gotoaws 1 0%
#23438 kshlm/tap/neovim-nightly-bin 1 0%
#23439 longmenzhitong/longmenzhitong/zodo 1 0%
#23440 symbiosis-cloud/tap/sym 1 0%
#23441 davidchall/hep/jetvheto 1 0%
#23442 zelnox/zelnox/postgis@3.4.2 1 0%
#23443 k0iry/i386-jos-toolchains/i386-jos-elf-gcc 1 0%
#23444 dbt-labs/dbt/dbt@0.17.1 1 0%
#23445 homebrew/linux-fonts/font-delugia-book 1 0%
#23446 homebrew-fucking-sucks/test-repo/qt@6.5 1 0%
#23447 octave-app/octave-app/qt_5.13 1 0%
#23448 dbt-labs/dbt/dbt-snowflake@1.5.2 1 0%
#23449 goodeggs/devops/nvmish 1 0%
#23450 speakeasy-api/tap/speakeasy@1.241.0 1 0%
#23451 dg-cli-common 1 0%
#23452 caarlos0/tap/twitter-cleaner 1 0%
#23453 menci/libvirt-m1/virt-manager 1 0%
#23454 kauth 1 0%
#23455 finleap-connect/monoctl/monoctl 1 0%
#23456 kwidgetsaddons 1 0%
#23457 kguiaddons 1 0%
#23458 spacelift-io/spacectl/spacectl 1 0%
#23459 cf-ps1 1 0%
#23460 infisical/get-cli/infisical@0.16.9 1 0%
#23461 namjar/tap/cloudflarewarpspeedtest 1 0%
#23462 el7cosmos/brew/roadrunner 1 0%
#23463 chanzuckerberg/tap/s3parcp 1 0%
#23464 mogenius/punq-dev/punq-dev 1 0%
#23465 gepetto/gepetto/pinocchio 1 0%
#23466 blackmann/brew/dotlocalctl 1 0%
#23467 img9417/shigan/shigan 1 0%
#23468 c_formatter_42 1 0%
#23469 alberto97/puro/puro 1 0%
#23470 shuttle-converter 1 0%
#23471 stealthii/bats-core/bats-support 1 0%
#23472 stealthii/bats-core/bats-file 1 0%
#23473 hazelcast/hz/hazelcast@5.4.0.beta.2 1 0%
#23474 onmyway133/formulae/minion 1 0%
#23475 quinyx/tap/qlogtail 1 0%
#23476 monki1/context4llm/context4llm 1 0%
#23477 apecloud/tap/kbcli@0.6.0 1 0%
#23478 brewsci/bio/snap 1 0%
#23479 dart-lang/dart/dart@2.6 1 0%
#23480 bl4cc4t/vsplugins/vsp-znedi3 1 0%
#23481 dbt-labs/dbt/dbt-bigquery@1.0.0 1 0%
#23482 ahundt/robotics/pangolin 1 0%
#23483 colibri 1 0%
#23484 neogeek/build-unity-android-plugin/build-unity-android-plugin 1 0%
#23485 ghdwlsgur/gostat/gostat 1 0%
#23486 sjorek/php/composer-php71@22 1 0%
#23487 sjorek/php/composer-php74@24 1 0%
#23488 hahwul/gitls/gitls 1 0%
#23489 artsy/formulas/detect_secrets 1 0%
#23490 dezhaoli/d/xargparse 1 0%
#23491 fagom/vault/vault 1 0%
#23492 skynet-core/skynet/libclc@19 1 0%
#23493 safari-bookmarks-cli 1 0%
#23494 selkamand/tap/probepicker 1 0%
#23495 warexify/edk2-buildtools/class-dump 1 0%
#23496 fus1ondev/tap/genelic 1 0%
#23497 aconchillo/guile/guile-json-rpc 1 0%
#23498 aconchillo/guile/guilescript 1 0%
#23499 homebrew/cask-versions/ffmpeg@6.0 1 0%
#23500 kishaningithub/tap/kafka-console-avro-without-schema-registry 1 0%
#23501 fingel/pass-osx/pass 1 0%
#23502 xwjdsh/tap/lunar 1 0%
#23503 defenseunicorns/tap/zarf@0.47.0 1 0%
#23504 earlye/brews/testkube 1 0%
#23505 michielnijenhuis/cli/envc 1 0%
#23506 atlassian/tap/atlassian-plugin-sdk5 1 0%
#23507 fishtown-analytics/dbt/dbt@0.19.1 1 0%
#23508 drone/drone/drone@1.3.3 1 0%
#23509 drone/drone/drone@1.1.4 1 0%
#23510 vertx-distrib/tap/vertx3 1 0%
#23511 mymacapp/tap/cursor-toggle-app 1 0%
#23512 meeuw/aws-credential-process/aws-credential-process 1 0%
#23513 lifepillar/appleii/plasma 1 0%
#23514 robertocalvi/oldgdal/gdal 1 0%
#23515 jez/formulae/symbol-new 1 0%
#23516 smillerdev/tap/test-kitchen 1 0%
#23517 capyvara/tap/unityyamlmerge 1 0%
#23518 kurtosis-tech/tap/kurtosis-cli@0.89.9 1 0%
#23519 python-faker 1 0%
#23520 arcadeai/tap/arcade-engine@1.0.8 1 0%
#23521 trinhngocthuyen/brew/itest-scanner 1 0%
#23522 dev-ujin/gov-test/gov-test 1 0%
#23523 sikalabs/tap/gobble 1 0%
#23524 lanytcc/tap/spotvm 1 0%
#23525 ysicing/tap/spotvm 1 0%
#23526 pros-cli 1 0%
#23527 craigsiemens/tap/random-pairs 1 0%
#23528 brewsci/bio/rasusa 1 0%
#23529 oven-sh/bun/bun@0.5.8 1 0%
#23530 anishakd4/terminalstopwatch/terminalstopwatch 1 0%
#23531 conductorone/baton/baton-splunk 1 0%
#23532 bucketplace/platform/mortar@1.5 1 0%
#23533 gabotechs/taps/substrait-viz 1 0%
#23534 keckcaves/keckcaves/vrui 1 0%
#23535 homebrew/cask-versions/gnupg@2.2.19 1 0%
#23536 hmcl 1 0%
#23537 sidaf/pentest/vfeed 1 0%
#23538 oxkitsune/macos-cross-libs/x86_64-unknown-linux-gnu-alsa-lib 1 0%
#23539 homebrew/cask/cmake@3.23 1 0%
#23540 homebrew/linux-fonts/font-firago 1 0%
#23541 ensembl/external/recon 1 0%
#23542 ensembl/external/rmblast 1 0%
#23543 ensembl/external/infernal10 1 0%
#23544 bluebuild 1 0%
#23545 gensx-inc/gensx/gensx 1 0%
#23546 bisondev/cobalt-taps/libxmlsec1@1.2.37 1 0%
#23547 sjorek/php/composer1-php70 1 0%
#23548 mjehrhart/minty/minty 1 0%
#23549 sjorek/php/composer-php74@25 1 0%
#23550 yt-dlp/taps/yt-dlp 1 0%
#23551 strawberry-tools/tap/strawberry 1 0%
#23552 brewsci/science/ace-corrector 1 0%
#23553 sjorek/php/composer-php73@22 1 0%
#23554 sjorek/php/composer-php80@24 1 0%
#23555 graphite-frozen 1 0%
#23556 tsukinoko-kun/tap/codehere 1 0%
#23557 kurtosis-tech/tap/kurtosis-cli@0.89.12 1 0%
#23558 aljohri/-/sshpass 1 0%
#23559 cube2222/cube2222/duckgpt 1 0%
#23560 karchive@5 1 0%
#23561 zxing-cpp 1 0%
#23562 chenrui333/tap/dyff 1 0%
#23563 neoheartbeats/emacsmacport/emacs-mac 1 0%
#23564 iblowmymind/emacs-plus/emacs-plus@31 1 0%
#23565 yara-python 1 0%
#23566 sqitchers/sqitch/sqitch_oracle 1 0%
#23567 mulle-kybernetik/software/mulle-match 1 0%
#23568 tamada/tap/btmeister 1 0%
#23569 kaanmertkoc/gitflow-helper/gitflow-helper 1 0%
#23570 kleis-technology/lcaac/lcaac-cli 1 0%
#23571 brewsci/bio/phylobayes 1 0%
#23572 twilio/brew/twilio@5.4.2 1 0%
#23573 keith/formulae/circle-cli 1 0%
#23574 thim81/inspectr/inspectr 1 0%
#23575 tsirysndr/tap/mvola 1 0%
#23576 swig-custom 1 0%
#23577 gwonsoolee/gslee/kubenx 1 0%
#23578 ymatrix-data/dev/apache-arrow@3.0.0 1 0%
#23579 karlvr/tools/chic 1 0%
#23580 osrf/simulation/sdformat4 1 0%
#23581 himkt/tap/kubectl-toggle_ctx 1 0%
#23582 tailwindcss4 1 0%
#23583 madeinchina/taps/setjdk 1 0%
#23584 hikoyu/shogi/kristallweizen 1 0%
#23585 hikoyu/shogi/tanuki 1 0%
#23586 jphastings/tools/pkdns-vanity 1 0%
#23587 hbjydev/tap/atfile 1 0%
#23588 infisical/get-cli/infisical@0.27.0 1 0%
#23589 linux-noah/noah/noahstrap 1 0%
#23590 lcms2 1 0%
#23591 toshi0607/gig/gig 1 0%
#23592 ki18n@5 1 0%
#23593 wang-q/tap/multiz 1 0%
#23594 ginqi7/formulae/reminders-cli 1 0%
#23595 rawnly/tap/env-manager 1 0%
#23596 banzaicloud/tap/banzai-cli 1 0%
#23597 kcoreaddons 1 0%
#23598 mariadb@11.4.2 1 0%
#23599 homebrew/cask-versions/neovim@0.9.5 1 0%
#23600 kdbusaddons 1 0%
#23601 idebeijer/tap/kubert 1 0%
#23602 attica 1 0%
#23603 kawamurakazushi/tap/tle 1 0%
#23604 openatx/tap/adbx 1 0%
#23605 flight-school/formulae/pos 1 0%
#23606 webhippie/webhippie/mcrcon 1 0%
#23607 homebrew/linux-fonts/font-tex-gyre-pagella 1 0%
#23608 homebrew/linux-fonts/font-computer-modern 1 0%
#23609 quick-mac 1 0%
#23610 subversion@1.8 1 0%
#23611 digitalspacestdio/php/php80-xhprof 1 0%
#23612 nearengine/tap/fistbump 1 0%
#23613 lfs-dal 1 0%
#23614 strmprivacy/cli/strm 1 0%
#23615 chenrui333/tap/asmfmt 1 0%
#23616 chenrui333/tap/autoflake 1 0%
#23617 horimislime/taproom/xcstats 1 0%
#23618 justinokamoto/espeak-ng/pcaudiolib 1 0%
#23619 naito/ecell/glade38 1 0%
#23620 rduo1009/tap/termusic 1 0%
#23621 digitalspacestdio/devserver-sh/devserver-sh 1 0%
#23622 zchee/fuse/rclone-mac 1 0%
#23623 revunix/repo/gibmacos 1 0%
#23624 valida-team/valida/valida 1 0%
#23625 ryanfb/misc/e57tools 1 0%
#23626 vmware-tanzu-labs/tap/yot 1 0%
#23627 kralle333/genumer/genumer 1 0%
#23628 k1low/tap/trivy-db-to 1 0%
#23629 segment-integrations/formulae/segmentcli 1 0%
#23630 mopidy/mopidy/mopidy-tunein 1 0%
#23631 hazelcast/hz/hazelcast-management-center-5.0 1 0%
#23632 infisical/get-cli/infisical@0.19.1 1 0%
#23633 brewforge/extras/chatgpt-cli 1 0%
#23634 cmu-sphinxbase 1 0%
#23635 syck 1 0%
#23636 padraic-shafer/openssh-gssapi/openssh-patched 1 0%
#23637 beopencloud/cno/cnoctl 1 0%
#23638 brewsci/bio/light-assembler 1 0%
#23639 njrich28/tap/kctl 1 0%
#23640 ypso-openjdk3@17 1 0%
#23641 will397/devtools/kustomize 1 0%
#23642 will397/devtools/yq 1 0%
#23643 stm 1 0%
#23644 protobuf@3.7 1 0%
#23645 barn/misc/adflib 1 0%
#23646 wang-q/tap/bbtools@37.77 1 0%
#23647 brewsci/science/einspline 1 0%
#23648 infisical/get-cli/infisical@0.15.2 1 0%
#23649 infisical/get-cli/infisical@0.11.0 1 0%
#23650 echocrow/tap/unboot 1 0%
#23651 cocoapodsforpulse 1 0%
#23652 sergk/tap/tkn-graph 1 0%
#23653 plantoncloud/tap/cli 1 0%
#23654 prabirkalwani/rust-finder/rust-finder 1 0%
#23655 git-remote-s3 1 0%
#23656 szampier/pipelines/esopipe-iiinstrument 1 0%
#23657 wyattjoh/stable/cloudflare-ddns 1 0%
#23658 hellodigit/tap/devx 1 0%
#23659 billglover/tap/starling-cli 1 0%
#23660 songmu/tap/godzil 1 0%
#23661 songmu/tap/ghg 1 0%
#23662 gnostr-org/gnostr-org/gnostr-bits 1 0%
#23663 fossas/tap/fossa 1 0%
#23664 obscurely/tap/falion 1 0%
#23665 csa 1 0%
#23666 aeontronix/enhancedmule/emt 1 0%
#23667 pachyderm/tap/pachctl@2.1 1 0%
#23668 khoavi/collection/thrift@0.20 1 0%
#23669 bjasspa/jasspa/microemacs-openssl 1 0%
#23670 clojure/tools/clojure@1.10.3.943 1 0%
#23671 cloudquery/tap/cloudquery@4.3.2 1 0%
#23672 kurtosis-tech/tap/kurtosis-cli@1.3.1 1 0%
#23673 pete911/tap/ipcalc 1 0%
#23674 jbuencuerpo/ngspicev32/ngspice 1 0%
#23675 loloke/c64tools/byteboozer2 1 0%
#23676 david0/audio/joeboy-lv2-plugins 1 0%
#23677 nategadzhi/notoma/notoma 1 0%
#23678 julienandreu/tap/git-sweep 1 0%
#23679 razvanazamfirei/tap/fizzy 1 0%
#23680 brewsci/num/brewsci-scotch@5 1 0%
#23681 nanovms/x86_64-elf/x86_64-elf-binutils 1 0%
#23682 gdanko/gdanko/dfimage 1 0%
#23683 gugdewescale/boursinator/boursinator 1 0%
#23684 ashsmith/ssl-gen/ssl-gen 1 0%
#23685 sidaf/pentest/egressbuster 1 0%
#23686 r2c 1 0%
#23687 hack-different/jailbreak/frida-node 1 0%
#23688 dbt-labs/dbt/dbt@0.20.2 1 0%
#23689 gerlero/openfoam/cgal@4 1 0%
#23690 mrxcitement/cafeconpan/xtermcontrol 1 0%
#23691 i686-elf-gdb 1 0%
#23692 jetbrains/utils/bunches 1 0%
#23693 cedric0303/hkid-generator/hkidgenerator 1 0%
#23694 celtech/ireaver/ireaver 1 0%
#23695 moves-rwth/misc/cocoalib 1 0%
#23696 vandud/hashicorp-tap/vault 1 0%
#23697 mashiike/tap/oteleport 1 0%
#23698 johnwason/robotraconteur/robotraconteur 1 0%
#23699 yakdriver/tap/terraform_latest_beta 1 0%
#23700 jakobmoellerdev/tap/ocm 1 0%
#23701 jakobmoellerdev/tap/ocm@0.17.0 1 0%
#23702 ixbox/tap/openssl@1.1 1 0%
#23703 env 1 0%
#23704 gst-plugins-bad 1 0%
#23705 gst-plugins-ugly 1 0%
#23706 gst-libav 1 0%
#23707 jubatus/jubatus/msgpack059 1 0%
#23708 render-cli 1 0%
#23709 tuist/tuist/tuist@4.1.0 1 0%
#23710 bryk-io/tap/tredctl 1 0%
#23711 yuki56738/yuki/iamyuki 1 0%
#23712 lidaobing/repo/libgedit-gtksourceview 1 0%
#23713 moshebe/pkg/gebug 1 0%
#23714 mulesoft-labs/tap/aws-keycloak 1 0%
#23715 brewsci/bio/squeakr 1 0%
#23716 iamsinghkrishna/php/php@7.3 1 0%
#23717 probe-rs/probe-rs/rtthost 1 0%
#23718 team-charls/tap/charls 1 0%
#23719 pipetest 1 0%
#23720 mattayes/formulae/apache-flink@1.19.1 1 0%
#23721 fishtown-analytics/dbt/dbt@0.20.2 1 0%
#23722 rasukarusan/tap/gitblamer 1 0%
#23723 rink-rs 1 0%
#23724 wealthsimple/tap/ws-cli 1 0%
#23725 seanenck/localtap/vim-minimal 1 0%
#23726 havoc-io/mutagen/mutagen@0.16 1 0%
#23727 vangie/formula/shadowsocksr-libev 1 0%
#23728 shopsmart/ssm2env/ssm2env 1 0%
#23729 dune-copasi/tap/dune-geometry 1 0%
#23730 dune-copasi/tap/dune-common 1 0%
#23731 chapar 1 0%
#23732 homebrew/linux-fonts/font-afacad 1 0%
#23733 speakeasy-api/tap/speakeasy@1.308.1 1 0%
#23734 shapr3d/shapr3d/llvm 1 0%
#23735 lgu-cto/lguctl/lguctl 1 0%
#23736 brewsci/bio/kr 1 0%
#23737 brewsci/bio/indelible 1 0%
#23738 brewsci/bio/fwdpp 1 0%
#23739 jetbladedevsstuff/libcdio-paranoia/libcdio-paranoia 1 0%
#23740 brewsci/bio/dehomopolymerate 1 0%
#23741 startupsecurity/tap/minos-codex 1 0%
#23742 brewsci/bio/bonsai 1 0%
#23743 light4/gcc_cross_compilers/arm-elf-gdb 1 0%
#23744 alazarolop/geobrew/r 1 0%
#23745 malleefoul/etc/kcontacts 1 0%
#23746 sjorek/php/composer-php71@1 1 0%
#23747 leonidboykov/tap/getmoe 1 0%
#23748 sjorek/php/composer-php80@1 1 0%
#23749 hta86/fabrun/fabrun 1 0%
#23750 infisical/get-cli/infisical@0.12.0 1 0%
#23751 green-br/tap/clifton 1 0%
#23752 solaoi/tap/voicepeaky4gpt 1 0%
#23753 dotpostcard/tools/postcards 1 0%
#23754 danpashin/repo/elfkickers 1 0%
#23755 joinself/self-sdk/self-sdk 1 0%
#23756 meissnem/tap/python@3.7 1 0%
#23757 keaz/homebrew/kcli 1 0%
#23758 defenseunicorns/tap/maru@0.2.0-rc1 1 0%
#23759 manticoresoftware/tap/manticore-columnar-lib 1 0%
#23760 pulumi/tap/arm2pulumi 1 0%
#23761 dbt-labs/dbt/dbt@0.16.0 1 0%
#23762 linuxbrew/fonts/font-andika 1 0%
#23763 linuxbrew/fonts/font-noto-sans-display 1 0%
#23764 linuxbrew/fonts/font-roboto-mono-nerd-font 1 0%
#23765 pegasus-isi/tools/pegasus@4 1 0%
#23766 nejohnson2/sdr/cmake 1 0%
#23767 gulp 1 0%
#23768 ceph-client-new 1 0%
#23769 zloesabo/nettools/httplab 1 0%
#23770 php-zts 1 0%
#23771 http 1 0%
#23772 cqlabs/dcm/dcm@1.20.1 1 0%
#23773 raiden-network/raiden/raiden 1 0%
#23774 digitalspacestdio/nextgen-devenv/digitalspace-local-ca 1 0%
#23775 ublue-os/tap/fleek 1 0%
#23776 sjorek/php/composer27-php84 1 0%
#23777 suzuki-shunsuke/yodoc/yodoc 1 0%
#23778 mittelmark/mittelmark/microemacs-help 1 0%
#23779 winebarrel/rds-download-log-file/rds-download-log-file 1 0%
#23780 mittelmark/mittelmark/microemacs-spelling-enus 1 0%
#23781 inlets 1 0%
#23782 hougesen/tap/hitt 1 0%
#23783 neomutt/neomutt/neomutt 1 0%
#23784 gogolok/tap/cf-cli@8 1 0%
#23785 waffle000/xboiler/xboiler 1 0%
#23786 surjikal/tap/apache-arrow@15.0.0 1 0%
#23787 k14s/tap/kbld 1 0%
#23788 brewsci/bio/mir-prefer 1 0%
#23789 cdenneen/taps/komiser@3.1.15 1 0%
#23790 woaitsaryan/core/regit 1 0%
#23791 homebrew/linux-fonts/font-source-serif-4 1 0%
#23792 homebrew/linux-fonts/font-delugia-mono-complete 1 0%
#23793 homebrew/linux-fonts/font-lxgw-bright-gb 1 0%
#23794 homebrew/linux-fonts/font-lxgw-wenkai-tc 1 0%
#23795 shopify/shopify/ngx-devel-kit 1 0%
#23796 homebrew/linux-fonts/font-delugia-mono-powerline 1 0%
#23797 cloudquery/tap/cloudquery@3.11.0 1 0%
#23798 ha36d/tap/pkrenv 1 0%
#23799 homebrew/linux-fonts/font-fira-sans-condensed 1 0%
#23800 brewsci/bio/libsequence 1 0%
#23801 sdkman/tap/sdkmancli 1 0%
#23802 homebrew/linux-fonts/font-lato 1 0%
#23803 tlopo-ruby/flat/flat 1 0%
#23804 simplexiengage/tools/simplexi-cli 1 0%
#23805 icu-le-hb 1 0%
#23806 labstreaminglayer/tap/lsl-beta 1 0%
#23807 jonathanhope/armaria/armaria 1 0%
#23808 rusty-ferris-club/tap/rustwrap 1 0%
#23809 kishaningithub/tap/terralens 1 0%
#23810 jphastings/tools/inkcut 1 0%
#23811 blogabe/xplanet/xplanetfx 1 0%
#23812 defenseunicorns/tap/uds@0.8.1 1 0%
#23813 bellx2/tap/x100cmd 1 0%
#23814 cyrus-sasl-xoauth2 1 0%
#23815 ssfa/brew/features 1 0%
#23816 samdmarshall/formulae/browserpass 1 0%
#23817 karthisrinivasan/test/test 1 0%
#23818 mashiike/tap/twitter-stream-client 1 0%
#23819 cppfw/tap/libantigrain 1 0%
#23820 tanksuzuki/easel/easel 1 0%
#23821 nugulinux/sdk/libnugu-kwd 1 0%
#23822 k1low/tap/connected 1 0%
#23823 clojure/tools/clojure@1.10.3.998 1 0%
#23824 brewsci/science/niftilib 1 0%
#23825 nolith/stuff/esh 1 0%
#23826 fullqueuedeveloper/spx/spx 1 0%
#23827 stevenysy/stevenysy/birthday 1 0%
#23828 snapsheet/core/session-manager-plugin 1 0%
#23829 json2jq 1 0%
#23830 jaden680/tap/rtc 1 0%
#23831 divanvisagie/tap/nocol 1 0%
#23832 gradle@4.4 1 0%
#23833 ensembl/ensembl/eponine 1 0%
#23834 ensembl/external/muscle 1 0%
#23835 ensembl/ensembl/minimap2 1 0%
#23836 jacobjohansen/tap/rds-auth-proxy 1 0%
#23837 teamkeel/keel/keel 1 0%
#23838 pepebecker/tap/text-recognizer 1 0%
#23839 denji/nginx/extended-status-nginx-module 1 0%
#23840 bilalcaliskan/tap/s3-substring-finder 1 0%
#23841 zph/zph/dagu 1 0%
#23842 biber219 1 0%
#23843 sgoudham/tap/uwuifyy 1 0%
#23844 cloud-unpacked/tap/tmhi 1 0%
#23845 mafrosis/jira-offline/jira-offline 1 0%
#23846 aconchillo/guile/wisp 1 0%
#23847 shopify/shopify/pyenv@1.2.11 1 0%
#23848 pridapablo/taps/magicclinav 1 0%
#23849 conductorone/baton/baton-onelogin 1 0%
#23850 sourtin/formulae/ngspice 1 0%
#23851 twilio/brew/twilio@5.19.0 1 0%
#23852 jamescostian/tap/signal-to-sms 1 0%
#23853 mgkennard/formulae/todotxt2org 1 0%
#23854 pothosware/pothos/libmirisdr 1 0%
#23855 bl4cc4t/vsplugins/vsp-l-smash-works 1 0%
#23856 homebrew/cask-versions/icu4c@71 1 0%
#23857 clok/sm/sm 1 0%
#23858 bukalapak/packages/snowboard 1 0%
#23859 ampersandhq/php/yarn@1.22.4 1 0%
#23860 flipt-io/brew/cup 1 0%
#23861 mint-lang/mint/mint-lang 1 0%
#23862 gtest 1 0%
#23863 moul/moul/moulsay 1 0%
#23864 lgarron/lgarron/bun-roll 1 0%
#23865 zhovner/zhovner/hcxtools 1 0%
#23866 maartz/swift-watch/swift-watch 1 0%
#23867 winebarrel/angelscript-sdk/angelscript-sdk 1 0%
#23868 tuist/tuist/tuist@4.2.2 1 0%
#23869 robotlocomotion/director/numpy@1.19.4 1 0%
#23870 go-go-golems/go-go-go/mastoid 1 0%
#23871 sjorek/php/composer26-php74 1 0%
#23872 dmlane/tap/dml-tools 1 0%
#23873 retrography/tap/audioswitch 1 0%
#23874 sonodima/sonodima/aobscan 1 0%
#23875 mopidy/mopidy/mopidy-somafm 1 0%
#23876 weaveworks/tap/eksctl@0.188.0 1 0%
#23877 weaveworks/tap/eksctl@0.154.0 1 0%
#23878 weaveworks/tap/eksctl@0.162.0 1 0%
#23879 m0t0k1ch1/tap/mysql-client@8.3.0 1 0%
#23880 naosnz/ikiwiki/ikiwiki 1 0%
#23881 aaron7/collabify/cli 1 0%
#23882 bucketplace/platform/mortar-dev@0.0 1 0%
#23883 mc-rtc/mc-rtc/spacevecalg 1 0%
#23884 cefqrn/ffmpeg/ffmpeg 1 0%
#23885 redis@4.0 1 0%
#23886 eso/pipelines/qfits 1 0%
#23887 tamada/brew/wildcat 1 0%
#23888 ankane/brew/morph 1 0%
#23889 brewsci/bio/bfc 1 0%
#23890 jackietung-redpanda/tap/redpanda 1 0%
#23891 eneko/tap/axx 1 0%
#23892 uiua 1 0%
#23893 libproxy0.4 1 0%
#23894 pythonswiftlink/tools/ast2json 1 0%
#23895 mew 1 0%
#23896 damoncoo/brew/clashy@0.3 1 0%
#23897 encoredev/tap/encore-nightly 1 0%
#23898 gocardless/taps/datalab 1 0%
#23899 codegpt 1 0%
#23900 sgerbino/koinos/koinos-cli 1 0%
#23901 uh-little-less-dum/cli/ulldcli 1 0%
#23902 brewsci/num/brewsci-suite-sparse 1 0%
#23903 drpsychick/sensei/sensei 1 0%
#23904 sagesse-cn/ios-restore-tools/libtatsu 1 0%
#23905 sagesse-cn/ios-restore-tools/gaster 1 0%
#23906 sagesse-cn/ios-restore-tools/ra1nsn0w 1 0%
#23907 leonid-s-usov/ceph/re2@20230301 1 0%
#23908 plantuml-pdf 1 0%
#23909 homebrew/linux-fonts/font-noto-serif-cjk-tc 1 0%
#23910 homebrew/linux-fonts/font-noto-serif-cjk-hk 1 0%
#23911 alvaro-aguirre-cl/esopipelines/cpl@7.3.2 1 0%
#23912 umaidashi/tap/go-cli-cobra 1 0%
#23913 chronohq/tap/puff 1 0%
#23914 wand 1 0%
#23915 na4ma4/tap/ghtool 1 0%
#23916 gcarrarom/fancygui/fancy-abak 1 0%
#23917 wswenyue/aklog/aklog 1 0%
#23918 offline 1 0%
#23919 dutchcoders/geodig/geodig 1 0%
#23920 devopsmakers/xterrafile/xterrafile 1 0%
#23921 fnstruct/tap/fnstruct-micro 1 0%
#23922 slmjkdbtl/formulae/packapp 1 0%
#23923 maxim-belkin/xorg/libglvnd 1 0%
#23924 amar1729/libguestfs/automake-1.15 1 0%
#23925 zinclabs/tap/zinc 1 0%
#23926 datadog/tap/kubectl-analyse 1 0%
#23927 kubeopsskills/levis/levis 1 0%
#23928 m9/security/gitleaks 1 0%
#23929 easttexaselectronics/ftg-go-tap/ftg 1 0%
#23930 wickles/tap/cint 1 0%
#23931 ecmwf/ecmwf/atlas 1 0%
#23932 shen-language/shen/shen-sbcl 1 0%
#23933 sjorek/php/composer23-php84 1 0%
#23934 miyamo2/tap/kubectl-create-transient_configmap 1 0%
#23935 fission-codes/fission/fission-cli 1 0%
#23936 gmerlino/tap-1/sdkmancli 1 0%
#23937 clang-format@1814 1 0%
#23938 brewsci/bio/elph 1 0%
#23939 sagesse-cn/ios-restore-tools/idevicerestore 1 0%
#23940 ticky/utilities/icd 1 0%
#23941 digitalspacestdio/common/icu4c@67.1 1 0%
#23942 pyaillet/formulas/doggy 1 0%
#23943 ladicle/tap/git-prompt 1 0%
#23944 nickolasburr/pfa/rng 1 0%
#23945 laggardkernel/tap/sing-box-bin 1 0%
#23946 menci/libvirt-m1/libvirt 1 0%
#23947 ocramuni/poppler-qt6/poppler-qt6 1 0%
#23948 brewsci/bio/libgenesis 1 0%
#23949 ryochin/tap/easyedit 1 0%
#23950 alekseysidorov/taps/fdbexplorer 1 0%
#23951 kevinrobayna/tap/aoc2md 1 0%
#23952 noborus/trdsql/xlsxsql 1 0%
#23953 probe-rs/probe-rs/cargo-flash 1 0%
#23954 iamyuki 1 0%
#23955 stenstromen/tap/vaultctx 1 0%
#23956 dragonlayout/sshpass/sshpass 1 0%
#23957 gnostr-org/gnostr-org/gnostr-xq 1 0%
#23958 amlal-elmahrouss/mips64/mips64-elf-binutils 1 0%
#23959 linuxbrew/fonts/font-lilex-nerd-font 1 0%
#23960 guardtime/ksi/libksi 1 0%
#23961 amar1729/deluge-meta/gobject-introspection 1 0%
#23962 bluebandit21/etterna/openssl@1.1_osx_high_sierra 1 0%
#23963 joshfrench/arduino-language-server/arduino-language-server 1 0%
#23964 vaclav-dvorak/tap/veribi-cli 1 0%
#23965 sidneys/homebrew/keyring 1 0%
#23966 k1low/tap/gh-star-history 1 0%
#23967 bamidev/stonenet/stonenet 1 0%
#23968 chigraph/kf5/kf5-phonon 1 0%
#23969 mp-pricing-update 1 0%
#23970 nrodichenko/px4/px4-dev 1 0%
#23971 bevanjkay/formulae/sendosc 1 0%
#23972 mkanako/tap/brew-up 1 0%
#23973 kairoaraujo/tap/tufie 1 0%
#23974 jayhuang75/med/med 1 0%
#23975 openresty/brew/mod-zip-nginx-module 1 0%
#23976 gwenhywfar-beta 1 0%
#23977 dbt-labs/dbt/dbt-bigquery@1.2.1 1 0%
#23978 alexey-lysiuk/slade/wxwidgets-slade 1 0%
#23979 dpo/openblas/superlu_mt 1 0%
#23980 meowmeowmeowcat/taps/hideicons 1 0%
#23981 nscli 1 0%
#23982 swordfaith/pentest/hashid 1 0%
#23983 golioth/tap/coap 1 0%
#23984 lvillani/tap/carthage-copy-frameworks 1 0%
#23985 magneticstain/ip2cr/ip2cr 1 0%
#23986 amalshaji/taps/beaver 1 0%
#23987 keyshade 1 0%
#23988 josh/tap/brew-unattended-upgrade 1 0%
#23989 supermomonga/splhack/ctags-objc-ja 1 0%
#23990 techvelocity/tap/velocity 1 0%
#23991 bl4cc4t/vsplugins/vsp-scxvid 1 0%
#23992 matiassalles99/memoh/memoh 1 0%
#23993 deus0ww/tap/dockutil 1 0%
#23994 python-markupsafe 1 0%
#23995 nicerloop/nicerloop/libcmis 1 0%
#23996 romainstuder/evosite3d/fpocket2 1 0%
#23997 larstvei/maude/maude 1 0%
#23998 apptio-pne/tools/kube-apptio-clusters 1 0%
#23999 kilimondjaro/hinoki-planner/hinoki-planner 1 0%
#24000 kevinschaul/core/yt-dlp 1 0%
#24001 sidhartk/sophy/sophy-alpha 1 0%
#24002 brewsci/bio/arks 1 0%
#24003 mattyr/taps/croc@9.6.15 1 0%
#24004 mangoyou/pentest/hashid 1 0%
#24005 pipelight/pipelight/pipelight 1 0%
#24006 brewsci/science/phipack 1 0%
#24007 samdmarshall/formulae/aosd 1 0%
#24008 legacycodehq/tap/twd 1 0%
#24009 caius/tap/s3-nuke 1 0%
#24010 svoop/postgresql/postgresql@16 1 0%
#24011 leegeunhyeok/tap/sourcemap-explorer 1 0%
#24012 digitalspacestdio/php/php73-xhprof 1 0%
#24013 jchaffin/emacs-edge/latex-rail 1 0%
#24014 zozonz/tap/opencv@4.5.2 1 0%
#24015 nicerloop/nicerloop/tccplus 1 0%
#24016 joern1811/tap/ai 1 0%
#24017 mistydemeo/formulae/wget-lua 1 0%
#24018 go@1.14 1 0%
#24019 mactat/mactat/framed 1 0%
#24020 takuro1000/ignite28tsfix/ignite 1 0%
#24021 mergepbx 1 0%
#24022 neoheartbeats/emacs-plus/emacs-plus@31 1 0%
#24023 gustavosas/brew/workspacegen 1 0%
#24024 itaybre/capstone/capstone 1 0%
#24025 twilio/brew/twilio@5.17.1 1 0%
#24026 abnormal-security/abnormal/tgenv 1 0%
#24027 masukomi/apps/private_comments_arm 1 0%
#24028 rosberry/tap/depo 1 0%
#24029 rdump/versions/kubernetes-cli@1.24.4 1 0%
#24030 weebney/tap/inblog 1 0%
#24031 iamsinghkrishna/php/php@8.2 1 0%
#24032 bl4cc4t/vsplugins/vsp-remapframes 1 0%
#24033 createchstudio/cpc/cpc 1 0%
#24034 irt-open-source/nga/libadm 1 0%
#24035 cathyjf/misc/git-remote-gcrypt-keybase 1 0%
#24036 colorls 1 0%
#24037 maxim-belkin/xorg/xorg-cf-files 1 0%
#24038 yoggy/sendosc/sendosc 1 0%
#24039 vetmeduni/popgen/python2 1 0%
#24040 denji/nginx/dav-ext-nginx-module 1 0%
#24041 nicerloop/nicerloop/lastpass-cli 1 0%
#24042 bl4cc4t/vsplugins/vsp-dfttest 1 0%
#24043 infisical/get-cli/infisical@0.23.0 1 0%
#24044 ymyzk/ymyzk/spim-for-kuis 1 0%
#24045 homebrew/linux-fonts/font-bungee 1 0%
#24046 astronomer/tap/astro@1.19.2 1 0%
#24047 brewsci/bio/nextgenmap 1 0%
#24048 vigo/lsvirtualenvs/lsvirtualenvs 1 0%
#24049 pugetsoundandvision/pugetsoundandvision/audiotools 1 0%
#24050 nsoperations/formulas/carthage 1 0%
#24051 chaenny0516/lguctl/lguctl 1 0%
#24052 duyet/tap/grant 1 0%
#24053 cloverstd/tap/tcping-go 1 0%
#24054 linuxbrew/xorg/xprop 1 0%
#24055 sjorek/php/composer23-php72 1 0%
#24056 hazelcast/hz/hazelcast@5.2.3 1 0%
#24057 rockyluke/devops/golint 1 0%
#24058 shivammathur/extensions/zmq@5.6 1 0%
#24059 cloud66/tap/c66starter 1 0%
#24060 msoap/tools/shell2telegram 1 0%
#24061 thezeroalpha/formulae/czkawka-gui 1 0%
#24062 stevedylandev/radicalize/radicalize 1 0%
#24063 indi 1 0%
#24064 brewsci/bio/verticalize 1 0%
#24065 jydu/biopp/maffilter 1 0%
#24066 ghost-language/ghost/ghost 1 0%
#24067 clickhouse/clickhouse/clickhouse@23.5 1 0%
#24068 autobrew/monterey/libusb 1 0%
#24069 heavywatal/tap/pcg 1 0%
#24070 luban-project/luban/luban 1 0%
#24071 orestesgaolin/tap/slack_cli 1 0%
#24072 johnnyho/geant4/geant4 1 0%
#24073 p8/unoconv/unoconv 1 0%
#24074 mediaarea/mediaarea/dvanalyzer-gui 1 0%
#24075 jobehi/mkproj/mkproj 1 0%
#24076 mjakeman/gnome/libpanel 1 0%
#24077 conductorone/baton/baton-jira 1 0%
#24078 taku3939/jobcan-manipulator/jobcan-manipulator 1 0%
#24079 kojix2/brew/odgi 1 0%
#24080 lavong/repo/cert-checker 1 0%
#24081 duckhue01/duckhue01/jwt 1 0%
#24082 nsis-dev/makensis/makensis@3.05 1 0%
#24083 speakeasy-api/tap/speakeasy@1.396.4 1 0%
#24084 tditlu/amiga/minipro-allow-skip-id 1 0%
#24085 drfill/liquidsoap/ladspa_header 1 0%
#24086 yamayo/ec2ssh/ec2ssh 1 0%
#24087 zhaolixin1/brewtap/pdf2htmlex-new 1 0%
#24088 apple-yagi/tap/egc 1 0%
#24089 nirmata/nctl/nctl@4.2rc 1 0%
#24090 vergenzt/core/csvkit 1 0%
#24091 stchris/formulae/aleph-tui 1 0%
#24092 brewsci/bio/pullseq 1 0%
#24093 digitalspacestdio/common/scons30 1 0%
#24094 digitalspacestdio/common/openssl11 1 0%
#24095 janhq/tap/cortex.cpp 1 0%
#24096 xero-internal/networks-tap/paas-cli 1 0%
#24097 kreeblah/zathura/zathura-pdf-mupdf 1 0%
#24098 gpiszczek/mobile/check-mk 1 0%
#24099 linuxbrew/xorg/xev 1 0%
#24100 linuxbrew/xorg/xrandr 1 0%
#24101 einstore/tap/random-generator 1 0%
#24102 z3_4_8_12 1 0%
#24103 gammasoft71/xtd/xtd 1 0%
#24104 sidaf/pentest/mitmf 1 0%
#24105 rrotter/tap/fwknop-client 1 0%
#24106 jsm174/bgfx/bgfx 1 0%
#24107 brewsci/science/bitseq 1 0%
#24108 brewsci/science/coinmp 1 0%
#24109 oven-sh/bun/bun@1.1.31 1 0%
#24110 brewsci/science/fastml 1 0%
#24111 brewsci/science/fermi2 1 0%
#24112 brewsci/science/hotspot 1 0%
#24113 brewsci/science/libsbml 1 0%
#24114 brewsci/science/lie 1 0%
#24115 athrunsun/linuxbinary/firefox 1 0%
#24116 svendowideit/grafana/tempo 1 0%
#24117 msoap/tools/html2data 1 0%
#24118 turbot/tap/steampipe@0.21.0 1 0%
#24119 dev-backpack/cllm/cllm 1 0%
#24120 brianm/tools/whereami 1 0%
#24121 eblot/armeabi/armv7em-cortex-m4f 1 0%
#24122 riiid/riiid/pbkit 1 0%
#24123 rcmdnk/rcmdnkpac/escape_sequence 1 0%
#24124 ast-grep/tap/ast-grep 1 0%
#24125 royxiang/tap/goreleaser@1 1 0%
#24126 nsis-dev/makensis/makensis@3.09 1 0%
#24127 gmrandazzo/gmr/molsketch 1 0%
#24128 avakarev/tap/dotfiles 1 0%
#24129 kreeblah/blissbox-updator-tap/blissbox-updator 1 0%
#24130 svpteam/mpv/ffmpeg-build 1 0%
#24131 svpteam/mpv/ffmpeg-arm 1 0%
#24132 koki-develop/tap/gonvert 1 0%
#24133 bear10591/tap/mpv-iina 1 0%
#24134 kjuulh/brew/toolkit 1 0%
#24135 scivision/tap/gcc@10.2.0 1 0%
#24136 devops-kung-fu/tap/kissbom 1 0%
#24137 nicholasdille/tap/rootlesskit 1 0%
#24138 sandstorm/tap/sandstorm-dependency-analysis 1 0%
#24139 bestcloudforme/tap/dbac 1 0%
#24140 swordfaith/pentest/wafw00f 1 0%
#24141 stabbylambda/saucelabs/sauce-connect 1 0%
#24142 turbot/tap/steampipe@0.22.2 1 0%
#24143 lintje/lintje/lintje 1 0%
#24144 rcmdnk/rcmdnkpac/multi_clipboard 1 0%
#24145 leoafarias/fvm/fvm@3.0.1 1 0%
#24146 mistydemeo/digipres/disc-image-creator 1 0%
#24147 gruyere 1 0%
#24148 voriteam/voripos/voripos-provision 1 0%
#24149 sjorek/php/composer24-php74 1 0%
#24150 keisisqrl/adjunct/kevedit 1 0%
#24151 daco/custom-tap/elasticsearch@6.8.20 1 0%
#24152 andrei-don/andrei-don/multi-k8s 1 0%
#24153 brewsci/science/mira 1 0%
#24154 ian-h-chamberlain/dotfiles/neovim@0.9.5 1 0%
#24155 tu-zhenzhao/weather_zsh/homebrew-weather_zsh 1 0%
#24156 mongodb/mongodb/mongodb-community@4.4 1 0%
#24157 signal-09/repo/iproute4mac 1 0%
#24158 frizlab/perso/locmapper 1 0%
#24159 skagedal/formulae/xcode-simulator-cert 1 0%
#24160 beshrns/mspgcc/msp430-mcu 1 0%
#24161 quackduck/tap/shamoji 1 0%
#24162 bbercovici/self/orbit-conversions 1 0%
#24163 capnfabs/tap/grouse 1 0%
#24164 brewdex/awsx/awsx 1 0%
#24165 lyft/core/teller 1 0%
#24166 louis-jan/cortexso/cortexso 1 0%
#24167 tessarin/core/cdecl 1 0%
#24168 s00d/rocksdbfusion/rocksdb_fusion 1 0%
#24169 hedhyw/main/otelinji 1 0%
#24170 popcornpalace/tap/snowflake-cli 1 0%
#24171 k2da/tap/hrkk 1 0%
#24172 snaipe/soft/libcsptr 1 0%
#24173 burnt-labs/xion/xiond@0.2.0 1 0%
#24174 conductorone/baton/baton-ms365 1 0%
#24175 rubynative/formulae/diamond 1 0%
#24176 gromgit/dev/hello-gromgit 1 0%
#24177 defenseunicorns/tap/zarf@0.34.0 1 0%
#24178 coopsrc/tap/poppler-qt6 1 0%
#24179 hazelcast/hz/hazelcast-enterprise@5.4.0 1 0%
#24180 rougier/emacs-plus/emacs-plus@30 1 0%
#24181 yasyf/summ/summ 1 0%
#24182 openiothub/tap/server-go 1 0%
#24183 romankurnovskii/awesome-brew/mongodb-database-tools 1 0%
#24184 gcc@12.2.0 1 0%
#24185 brewsci/bio/fermi-lite 1 0%
#24186 keith/formulae/git-relative-status 1 0%
#24187 iamd3vil/tap/verbump 1 0%
#24188 s2mr/tap/l10nlint 1 0%
#24189 jondot/tap/autobrew 1 0%
#24190 zeet-dev/tap/zeet 1 0%
#24191 ynqa/tap/bul 1 0%
#24192 fortran-lang/fortran/fortls 1 0%
#24193 alexmyczko/mac/geant4 1 0%
#24194 int128/ghcp/ghcp 1 0%
#24195 idris 1 0%
#24196 jphastings/tools/mela-standardize 1 0%
#24197 homebrew/linux-fonts/font-cousine 1 0%
#24198 mattrinternal/tap/mattrcli-infra 1 0%
#24199 microsoft/mssql-release/msodbcsql17@17.5.2.1 1 0%
#24200 templeeight/temple/temple 1 0%
#24201 zetta/scalr/scalr 1 0%
#24202 homebrew/core-bak/openjdk@8 1 0%
#24203 haimgel/tools/mqtt2cmd 1 0%
#24204 iadvize/swissknife/swissknife 1 0%
#24205 scaleops-sh/scaleops/scaleops 1 0%
#24206 kabel/pecl/php-pecl-http 1 0%
#24207 winebarrel/tm/tm 1 0%
#24208 jplsek/tap/git-mob-rs 1 0%
#24209 tailcall 1 0%
#24210 microsoft/msodbcsql/mssql-tools 1 0%
#24211 gnu-cobol 1 0%
#24212 cblecker/tap/hypershift 1 0%
#24213 devidw/tap/kleinanzeigen-bot 1 0%
#24214 terranova 1 0%
#24215 garrettsparks/core/postgresql@14 1 0%
#24216 digitalspacestdio/nextgen-devenv/digitalspace-mysql@5.7 1 0%
#24217 twilio/brew/twilio@3.0.0 1 0%
#24218 lehigh-university-libraries/papercut/papercut 1 0%
#24219 tschoonj/tap/easyrng 1 0%
#24220 haraldf/kf5/kf5-karchive 1 0%
#24221 kalahari/tap/dok 1 0%
#24222 evenscribe/evenscribe/evenscribe-collector@0.1 1 0%
#24223 bradleyjkemp/formulae/abwhose 1 0%
#24224 jez/formulae/smlnj 1 0%
#24225 sjorek/php/composer-php72@1 1 0%
#24226 rubikonz/core/git@2.44.0 1 0%
#24227 modworm/modworm/rain 1 0%
#24228 cyclone-scheme/cyclone/cyclone 1 0%
#24229 andrikpowell/nyan-doom/nyan-doom 1 0%
#24230 github/gh/gh 1 0%
#24231 eliran89c/tap/klama 1 0%
#24232 pumpbin 1 0%
#24233 thaim/tap/ec2id 1 0%
#24234 olomix/oldversions/bazel@6.2.1 1 0%
#24235 speakeasy-api/tap/speakeasy@1.332.1 1 0%
#24236 ghokun/tap/convert 1 0%
#24237 skcin7/php/php@7.3 1 0%
#24238 gmerlino/gdb/gdb 1 0%
#24239 winebarrel/sqsf/sqsf 1 0%
#24240 sergiobenitez/osxct/x86_64-none-elf 1 0%
#24241 masakichi/tap/tango 1 0%
#24242 manticoresoftware/tap-rc/manticore-executor 1 0%
#24243 digitalspacestdio/php/php74-mcrypt 1 0%
#24244 kabel-salat/qt62lts/qtscxml@6.2.9 1 0%
#24245 mkpkg/taps/q 1 0%
#24246 kabel-salat/qt62lts/qtsvg@6.2.9 1 0%
#24247 kabel-salat/qt62lts/qtquicktimeline@6.2.9 1 0%
#24248 frankywahl/tap/teleport 1 0%
#24249 blackboard-foundations/tap/fnds 1 0%
#24250 eso/pipelines/esopipe-vcam 1 0%
#24251 dhpiggott/tap/plutus 1 0%
#24252 junnishimura/tap/chatify 1 0%
#24253 benq581/amule/cryptopp 1 0%
#24254 dsxack/tap/gitfs 1 0%
#24255 jakewharton/repo/posterbox 1 0%
#24256 adtennant/tilengine/tilengine 1 0%
#24257 nrodrigues/tap/gradlew 1 0%
#24258 cylinder3/cc/hysteria12 1 0%
#24259 cylinder3/cc/hysteria22 1 0%
#24260 cylinder3/cc/hysteria23 1 0%
#24261 cylinder3/cc/hysteria24 1 0%
#24262 cylinder3/cc/hysteria25 1 0%
#24263 cylinder3/cc/naiveproxy5 1 0%
#24264 codello/brewery/srtlab 1 0%
#24265 toolsascode/tap/helm-s3-publisher 1 0%
#24266 vpukhanov/tools/cascade 1 0%
#24267 wsonetunnel/tunnel/tuddler 1 0%
#24268 dhulihan/grump/grump 1 0%
#24269 ordishs/mgit-cli/mgit-cli 1 0%
#24270 pyama86/isaka/isaka 1 0%
#24271 marcusziade/apod-cli/apod-cli 1 0%
#24272 jmederosalvarado/neovim-nightly/neovim-nightly 1 0%
#24273 hupe1980/zipbomb/zipbomb 1 0%
#24274 vrypan/lemon3/lemon3 1 0%
#24275 dbt-labs/dbt/dbt-postgres@1.5.0 1 0%
#24276 lgarron/lgarron/toggle-screen-sharing-resolution 1 0%
#24277 davidchall/hep/vbfnlo 1 0%
#24278 homebrew/cask-versions/liquibase@3.8.7 1 0%
#24279 sourcegraph/src-cli/src-cli@5.0.3 1 0%
#24280 forestvpn/beta/fvpn 1 0%
#24281 chienphamvu/tap/kubex 1 0%
#24282 thin-edge/tedge/tedge-main 1 0%
#24283 gromgit/dev/golangci-lint@1.64.8 1 0%
#24284 osyrisrblx/tap/run-in-roblox 1 0%
#24285 velux/bura/bura_prerelease 1 0%
#24286 rikonor/icp-cli/icp-cli 1 0%
#24287 devpulsehq/tap/channels-cli 1 0%
#24288 tillitis/tkey/tkey-runapp 1 0%
#24289 hrntknr/tap/stablessh 1 0%
#24290 dpo/openblas/parmetis 1 0%
#24291 cdimascio/tap/sqs_replay 1 0%
#24292 konstellation-io/tap/kli 1 0%
#24293 cfergeau/cgo/cgo-test 1 0%
#24294 kabel/pecl/php@8.1-tideways-xhprof 1 0%
#24295 cmd-tools/tap/gtfocli 1 0%
#24296 gpanders/tap/ijq 1 0%
#24297 ssanj/scala-deps-zatp/scala-deps 1 0%
#24298 dlr-ts/sumo/sumo@1.9.1 1 0%
#24299 njorda/tools/shareit 1 0%
#24300 includeos/includeos/includeos 1 0%
#24301 goodeggs/devops/goodeggs 1 0%
#24302 mvisonneau/tap/s5 1 0%
#24303 squwid/repo/imgenc 1 0%
#24304 shopify/shopify/buildkite-agent 1 0%
#24305 homebrew/linux-fonts/font-zen-tokyo-zoo 1 0%
#24306 postgresql@16.4 1 0%
#24307 homebrew/cask/cadabra2@2.3.9.3 1 0%
#24308 cqlabs/dcm/dcm@1.13.4 1 0%
#24309 sjorek/php/composer-php82@22 1 0%
#24310 algolia/algolia-fig/fig 1 0%
#24311 boertel/postgresql/postgresql@16 1 0%
#24312 armory-io/armory/armory-cli 1 0%
#24313 infisical/get-cli/infisical@0.18.0 1 0%
#24314 tomahawk-player/tomahawkqt5/vlc 1 0%
#24315 gamechanger/brews/sshtohosts 1 0%
#24316 digitalspacestdio/nextgen-devenv/digitalspace-ngx-devel-kit 1 0%
#24317 cartman-kai/thrift/php@7.4.13 1 0%
#24318 terraforming 1 0%
#24319 shopify/private/shopify-tunnel 1 0%
#24320 masterpointio/tap/ecsrun 1 0%
#24321 k1low/tap/tbls-build 1 0%
#24322 dbt-labs/dbt/dbt-bigquery@1.5.8 1 0%
#24323 digitalspacestdio/common/libxml2@2.9 1 0%
#24324 szampier/pipelines/cext 1 0%
#24325 uname-n/mk/mk 1 0%
#24326 aqbanking-beta 1 0%
#24327 wangsizhu0504/nvc/nvc 1 0%
#24328 fancom-developer/tap/fanauth 1 0%
#24329 mikelorant/tap/asdfswitcher 1 0%
#24330 homebrew/linux-fonts/font-webdings 1 0%
#24331 julzdiverse/tools/goml 1 0%
#24332 yetisage/scripts/zellij-run 1 0%
#24333 argoproj/tap/kubectl-argo-rollouts@1.6 1 0%
#24334 embik/tap/kubeconfig-bikeshed 1 0%
#24335 jawshooah/pyenv/pyenv-register 1 0%
#24336 babilonczyk/shortcut/shortcut 1 0%
#24337 guancecloud/tap/platypus 1 0%
#24338 alchemi-bio/tap/supabase 1 0%
#24339 kabel/pecl/php@8.1-sqlsrv 1 0%
#24340 kellyredding/custom/ffmpeg 1 0%
#24341 alphauslabs/tap/oops 1 0%
#24342 wiz-sec/private/protobuf 1 0%
#24343 wiz-sec/private/mockery 1 0%
#24344 wiz-sec/private/protoc-gen-go 1 0%
#24345 homebrew/cask/gettext 1 0%
#24346 skinny/eva/report-renderer 1 0%
#24347 jhunt/hacks/osb 1 0%
#24348 shajra/formulae/yabai-shajra 1 0%
#24349 linuxbrew/fonts/font-hackgen 1 0%
#24350 linuxbrew/fonts/font-hackgen-nerd 1 0%
#24351 secrets 1 0%
#24352 yaojunluo/alidown/alidown 1 0%
#24353 diegodiaz-tomtom/ttxcmetrics/ttxcmetrics@1.1.0 1 0%
#24354 linuxbrew/fonts/font-zed-mono-nerd-font 1 0%
#24355 larseggert/mytap/rfcdiff 1 0%
#24356 minio/stable/mcli 1 0%
#24357 bglezseoane/tap/jour 1 0%
#24358 mistydemeo/formulae/adx2wav 1 0%
#24359 mistydemeo/formulae/wav2adx 1 0%
#24360 kphrx/core/pinentry-mac 1 0%
#24361 tomahawk-player/tomahawkqt5/lucenepp 1 0%
#24362 na-trium-144/gazebo/ignition-fuel-tools4 1 0%
#24363 akicho8/shogi/yaneuraou 1 0%
#24364 ginqi7/formulae/org-reminders-cli 1 0%
#24365 yugabyte/yugabytedb/yb-voyager@1.8.13 1 0%
#24366 madeofpendletonwool/chopchoprss/chopchoprss 1 0%
#24367 sweetraingarden/raname/raname 1 0%
#24368 mokuo/starwars-terminal/starwars-terminal 1 0%
#24369 kabel/pecl/php@8.2-phalcon 1 0%
#24370 redpeacock78/tools/tmux-sixel 1 0%
#24371 nedap/versions/gettext@0.23.1 1 0%
#24372 arf-rpc/tap/arfc 1 0%
#24373 eso/pipelines/esopipe-iiinstrument 1 0%
#24374 417-72ki/tap/ssgh 1 0%
#24375 eso/pipelines/esopipe-midi 1 0%
#24376 eso/pipelines/esopipe-naco 1 0%
#24377 eso/pipelines/esopipe-sinfo 1 0%
#24378 eso/pipelines/esopipe-sofi 1 0%
#24379 omkarph/tap/redis-server-lite 1 0%
#24380 junnishimura/tap/goit 1 0%
#24381 shopsmart/bd/toolshed 1 0%
#24382 dbt-labs/dbt/dbt-snowflake@1.4.0 1 0%
#24383 nyshk97/tap/rmtrash-mac 1 0%
#24384 kindlyops/tap/deleterious 1 0%
#24385 jindo-io/jindo/jindo 1 0%
#24386 zhovner/proxmark3/proxmark3 1 0%
#24387 simon-busch/echidna-coverage/echidna-coverage 1 0%
#24388 kurtosis-tech/tap/kurtosis-cli@1.5.0 1 0%
#24389 mdnoga/pentesting/responder 1 0%
#24390 astronomer/tap/astro@1.33.2 1 0%
#24391 nerves-hub/tap/cli 1 0%
#24392 cxa/formulae/dicmd 1 0%
#24393 ketchoop/tap/flora 1 0%
#24394 steinbrueckri/packages/pik 1 0%
#24395 evnp/runfile.sh/runfile.sh 1 0%
#24396 tiostech/tioslegacy/openssl@1.1 1 0%
#24397 swiftxcode/swiftxcode/swift-xcode-nio1 1 0%
#24398 newrelic/agents/node-log-viewer 1 0%
#24399 osyrisrblx/tap/foreman 1 0%
#24400 lutzifer/tap/keyboard-switcher 1 0%
#24401 defenseunicorns/tap/zarf@0.48.0 1 0%
#24402 cravid/tap/rmds 1 0%
#24403 shaftoe/wsk/wsk 1 0%
#24404 vitorgalvao/tiny-scripts/lossless-compress 1 0%
#24405 dotanuki-labs/taps/gradle-wiper 1 0%
#24406 mht208/formal/ltl3ba 1 0%
#24407 junian/apps/disable-screen-capture-approvals 1 0%
#24408 mongodb/mongodb/mongodb-community 1 0%
#24409 clojure/tools/clojure@1.11.3.1463 1 0%
#24410 mordecai 1 0%
#24411 giantswarm/giantswarm/gsctl 1 0%
#24412 zenangst/formulae/borders 1 0%
#24413 grkmyldz148/rabona/rabona 1 0%
#24414 clojure/tools/clojure@1.11.3.1470 1 0%
#24415 dotenv-org/brew/dotenv-vault-node 1 0%
#24416 thesaas-company/tap/saas 1 0%
#24417 dpep/dpep/dpep-common 1 0%
#24418 rotationalio/tools/whisper 1 0%
#24419 doziestar/mapmap/mapmap 1 0%
#24420 tudelft3d/software/city4cfd@0.5.0 1 0%
#24421 bafh13/superhtml/superhtml 1 0%
#24422 jubatus/jubatus/jubatus-msgpack-rpc 1 0%
#24423 homebrew/cask/postgis@2.5 1 0%
#24424 stefansundin/tap/vlc-twitch 1 0%
#24425 chrisfu/proxmark3/proxmark3 1 0%
#24426 digitalspacestdio/common/llvm 1 0%
#24427 radiusnetworks/utils/rbcom 1 0%
#24428 packr 1 0%
#24429 tevjef/tap/git-jira 1 0%
#24430 containeroo/tap/otpgen 1 0%
#24431 containeroo/tap/ci-thief 1 0%
#24432 mysql+++ 1 0%
#24433 rubenlancho/cliai/cliai 1 0%
#24434 brewsci/bio/percolator 1 0%
#24435 speakeasy-api/tap/speakeasy@1.397.3 1 0%
#24436 speakeasy-api/tap/speakeasy@1.312.0 1 0%
#24437 speakeasy-api/tap/speakeasy@1.363.0 1 0%
#24438 speakeasy-api/tap/speakeasy@1.375.0 1 0%
#24439 speakeasy-api/tap/speakeasy@1.390.5 1 0%
#24440 infisical/get-cli/infisical@0.15.0 1 0%
#24441 cylinder3/cc/naiveproxy1 1 0%
#24442 gidoichi/core/yaml-path 1 0%
#24443 cloudquery/tap/cloudquery@5.4.0 1 0%
#24444 raulgotor/tty-tetris/tty-tetris@0.1.1 1 0%
#24445 osx-cross/avr/avr-gcc 1 0%
#24446 choppsv1/term24/tmux 1 0%
#24447 brunobonacci/lazy-tools/easy-subnet 1 0%
#24448 jhawthorn/fzy/fzy 1 0%
#24449 ahachulteam/ahhachul/ahhachul-dbconn 1 0%
#24450 jaxxstorm/tap/pedloy 1 0%
#24451 kusshi94/tap/gipp 1 0%
#24452 agent-hellboy/fmsh/fmsh 1 0%
#24453 ros/groovy/icon-naming-utils 1 0%
#24454 z80oolong/fonts/koruri 1 0%
#24455 aichat-git 1 0%
#24456 shunsambongi/tap/pistol 1 0%
#24457 renuo/tap/renuo-cli 1 0%
#24458 colinshr/core/libmxml 1 0%
#24459 colinshr/core2/tmux 1 0%
#24460 homebrew/cask/hugo@0.107.0 1 0%
#24461 mattprecious/repo/stacker 1 0%
#24462 homebrew/linux-fonts/font-overpass 1 0%
#24463 ajanis/custombrew/sshpass 1 0%
#24464 z80oolong/eaw/nano@8.0 1 0%
#24465 z80oolong/eaw/nano@8.1 1 0%
#24466 dbt-labs/dbt/dbt-redshift@1.5.12 1 0%
#24467 eso/pipelines/esopipe-esotk 1 0%
#24468 homebrew/cask-versions/mariadb-connector-c@3.3.10 1 0%
#24469 alvaro-aguirre-cl/esopipelines/telluriccorr 1 0%
#24470 kparts 1 0%
#24471 ypso-azure-cli2 1 0%
#24472 gmerlino/tap-1/sdkman-cli 1 0%
#24473 sjorek/php/composer24-php83 1 0%
#24474 sjorek/php/composer25-php83 1 0%
#24475 sjorek/php/composer26-php84 1 0%
#24476 alphahydrae/tools/ensure-git-repos 1 0%
#24477 cylinder3/cc/xray4 1 0%
#24478 cylinder3/cc/naiveproxy3 1 0%
#24479 kauesabinosrv17/flower/flower 1 0%
#24480 jeebak/personal/beets 1 0%
#24481 malinskiy/tap/xcresult-json 1 0%
#24482 chenrui333/tap/zprint 1 0%
#24483 warrensbox/tap/jscheck 1 0%
#24484 changwoolab/hbp/hbp 1 0%
#24485 meowlgmee/macos-cross-toolchains/x86_64-linux-gnu@14.2.0-glibc2.40 1 0%
#24486 blacktop/tap/go 1 0%
#24487 pcarrier/brew/identme 1 0%
#24488 dbrest-io/dbrest/dbrest 1 0%
#24489 mainrs/tap/git-cm 1 0%
#24490 microsoft/mssql-release/msodbcsql17@17.10.2.1 1 0%
#24491 magus/git-stack/git-stack@1.12.0 1 0%
#24492 vale21/qemu-virgl/libangle 1 0%
#24493 ataka/formulae/monchcli 1 0%
#24494 kurtosis-tech/tap/kurtosis-cli@1.4.1 1 0%
#24495 0xcfff/tap/hostsctl 1 0%
#24496 hazelcast/hazelcast/hazelcast 1 0%
#24497 notmicaelfilipe/tap/kfilt 1 0%
#24498 ebc-2in2crc/tap/percentile 1 0%
#24499 microsoft/mssql-release/mssql-tools@17.5.2.1 1 0%
#24500 salilab/salilab/ifort-runtime 1 0%
#24501 gerritforge/gerrit/gerrit@3.9.9 1 0%
#24502 jmoney/personal/ghpr 1 0%
#24503 tedious-tools/formulae/b64 1 0%
#24504 rajjaiswalsaumya/maven388/maven388 1 0%
#24505 mroth/formulas/deepclean 1 0%
#24506 sapana-micro-software/formulae/document2audio 1 0%
#24507 chenrui333/tap/bws 1 0%
#24508 grantbirki/tap/crystal 1 0%
#24509 kurtosis-tech/tap/kurtosis-cli@0.89.10 1 0%
#24510 pngwolf-zopfli 1 0%
#24511 yumitsu/dte/dte 1 0%
#24512 rcmdnk/rcmdnkpac/rcmdnk-trash 1 0%
#24513 colinxr/shopify-theme-manager/shopify-theme-manager 1 0%
#24514 lifepillar/appleii/llvm-6502 1 0%
#24515 lifepillar/appleii/nulib2 1 0%
#24516 now-run/now/now 1 0%
#24517 estie-inc/tap/internal-api-local-sidecar 1 0%
#24518 veracode/tap/veracode-cli@2.35.0 1 0%
#24519 brewforge/more/git-graph 1 0%
#24520 simenandre/tools/mt 1 0%
#24521 budrick/dump1090/dump1090-fa 1 0%
#24522 kezhenxu94/tap/ipass 1 0%
#24523 chenrui333/tap/mergestat 1 0%
#24524 pfnet-research/git-ghost/git-ghost 1 0%
#24525 metaplay/tap/metaplay 1 0%
#24526 flowscripter/tap/example-cli 1 0%
#24527 streetpea/streetpea/chiaki-ng-qt 1 0%
#24528 demiurg/brew/zed-theme-importer 1 0%
#24529 vanta-enrollment/vanta/vanta_darwin_x64_v2.0.6 1 0%
#24530 nicerloop/nicerloop/warc2html 1 0%
#24531 rookie0031/declan-cli/declan-ns-cleaner 1 0%
#24532 mjakeman/gnome/libgit2-glib-dev 1 0%
#24533 michaelroosz/ssh/sshpass 1 0%
#24534 kphrx/core/openvino 1 0%
#24535 dalbertom/versions/screen@4.9.1 1 0%
#24536 yugabyte/yugabytedb/yb-voyager@1.8.12 1 0%
#24537 adorigi/adorigi/kaytu-cli 1 0%
#24538 katyukha/odood/odood 1 0%
#24539 ryota-ka/twterm/twterm 1 0%
#24540 dpo/openblas/mumps 1 0%
#24541 spesnova/dotkeeper/dotkeeper 1 0%
#24542 fumito-ito/projectrules/projectrules 1 0%
#24543 openresty/brew/dav-ext-nginx-module 1 0%
#24544 reduze 1 0%
#24545 homebrew/linux-fonts/font-zen-dots 1 0%
#24546 moreal/brew/yoyak 1 0%
#24547 hadlow/sendex/sendex 1 0%
#24548 dream11/tools/odin@1.3.3 1 0%
#24549 luanti 1 0%
#24550 zalt50/core/dovecot@2.3 1 0%
#24551 homebrew/bundle/idpbuilder 1 0%
#24552 kcl-lang/tap/kcl@0.11 1 0%
#24553 gpilab/gpiv2/gpiv2 1 0%
#24554 akioruri/emacs-plus/emacs-plus@30 1 0%
#24555 colinshr/core4/nvm 1 0%
#24556 tolitius/bb8/bb8 1 0%
#24557 pulck/mpvdeps/tesseract 1 0%
#24558 pulck/mpvdeps/vulkan-loader 1 0%
#24559 bcongdon/ep/ep 1 0%
#24560 thoran/tap/exitor 1 0%
#24561 brewforge/chinese/bbdown 1 0%
#24562 dinglevin/pub-tools/levin-pub-tools 1 0%
#24563 tetrachromeio/tetrachrome/direct 1 0%
#24564 eblot/armeabi/arm-none-eabi-gcc 1 0%
#24565 buddy/bdy/bdy-beta 1 0%
#24566 reiki4040/tap/goreleaser-work 1 0%
#24567 act3-ai/tap/hops 1 0%
#24568 eso/pipelines/esopipe-gravity-demo 1 0%
#24569 toonetown/extras/google-cloud-sdk-env 1 0%
#24570 limitliu/formulae/gbdk2020 1 0%
#24571 lifepillar/appleii/apple1 1 0%
#24572 cooperdactor-bytes/sadl/sadl 1 0%
#24573 madappgang/meroku/meroku 1 0%
#24574 levilovie/formulas/pinniped 1 0%
#24575 homebrew/nginx/headers-more-nginx-module 1 0%
#24576 mmmmata/emacs-plus/emacs-plus@29 1 0%
#24577 noborus/tap/guesswidth 1 0%
#24578 z80oolong/eaw/neomutt@20240425 1 0%
#24579 burnt-labs/xion/xiond@0.2.1 1 0%
#24580 joinself/tap/self-sdk@0.35.0 1 0%
#24581 fabiankle/fab/rust-please 1 0%
#24582 bazelbuild/tap/bazel@7.3.0 1 0%
#24583 sanak/versions/pgrouting20 1 0%
#24584 digitalspacestdio/common/libxslt@1.1.22-icu4c.69.1 1 0%
#24585 fortio/tap/lll-fixer 1 0%
#24586 heroesofcode/taps/spm-swift-package 1 0%
#24587 ratnadipdas/gdb/gdb 1 0%
#24588 jgardo-ds/tap/streamx@0.3.8 1 0%
#24589 dapr/tap/dapr-cli@1.9.0-rc.6 1 0%
#24590 pulck/mpvdeps/dav1d 1 0%
#24591 pulck/mpvdeps/srt 1 0%
#24592 pulck/mpvdeps/libb2 1 0%
#24593 pulck/mpvdeps/zeromq 1 0%
#24594 homebrew/cask-versions/swiftlint@0.52.2 1 0%
#24595 budougumi0617/tap/nrseg 1 0%
#24596 catdoc 1 0%
#24597 robertglonek/tools/aerolab 1 0%
#24598 robertaudi/formulae/appswitch 1 0%
#24599 kazu728/tap/gcz 1 0%
#24600 brewsci/bio/gtk4@4.15.6 1 0%
#24601 shobhit-nagpal/frmt/frmt 1 0%
#24602 kennethreitz/-/bake 1 0%
#24603 lyferlu/brew/cmcl-kotlin 1 0%
#24604 haji-ali/emacsmacport/emacs-mac 1 0%
#24605 ronchi-oss/tap/chpath 1 0%
#24606 siemens-mobile-hacks/tap/elf2vkp 1 0%
#24607 griddo/env/griddo-env 1 0%
#24608 henrik242/brew/ruby@2.5 1 0%
#24609 cdalvaro/tap/json11 1 0%
#24610 witnsby/aws-sso-login/aws-sso-login 1 0%
#24611 caarlos0/tap/shcheck 1 0%
#24612 sidaf/pentest/nopc 1 0%
#24613 sidneys/homebrew/wireproxy 1 0%
#24614 simmel/irssi/irssi-otr 1 0%
#24615 expo/expo-utils/expo-skaffold 1 0%
#24616 meterup/packages/mctl 1 0%
#24617 cqlabs/dcm/dcm@1.24.1 1 0%
#24618 bazelbuild/tap/bazel@7.4.0 1 0%
#24619 tgtakaoka/m6809/gdb-m6809 1 0%
#24620 oven-sh/bun/bun@0.6.1 1 0%
#24621 swiftdocorg/formulae/swift-doctest 1 0%
#24622 vramahandry/tools/my-first-cli 1 0%
#24623 morpheus-lab/morpheus-versions/morpheus-gnuplot-datablocks 1 0%
#24624 caarlos0/tap/gssh 1 0%
#24625 naiveproxy 1 0%
#24626 patsoffice/tools/aliasman 1 0%
#24627 ypso-openjdk2@17 1 0%
#24628 homebrew/linux-fonts/font-roboto-condensed 1 0%
#24629 kabel/php-ext/php@8.1-oci8 1 0%
#24630 yocli/yocli/yo 1 0%
#24631 hazelcast/hz/hazelcast-5.5 1 0%
#24632 puppetlabs/puppet/relay 1 0%
#24633 deliveroo/tools/roo 1 0%
#24634 clazyzombie/kanata-service/kanata-service 1 0%
#24635 subito-it/made/mendoza 1 0%
#24636 digitalspacestdio/common/bison27 1 0%
#24637 kreeblah/reflex-adapt-tap/reflexadapt 1 0%
#24638 thoran/tap/git-autobisect 1 0%
#24639 thoran/tap/git-checkout-new-issue 1 0%
#24640 thoran/tap/startor 1 0%
#24641 timescam/tap/dvdts 1 0%
#24642 cxwx/cxbrew/tinytetris 1 0%
#24643 ahmedsaheed/qurancli/qurancli 1 0%
#24644 t-var-s/tap/wpskim 1 0%
#24645 sacloud/terraform-provider-sakuracloud/terraform-provider-sakuracloud 1 0%
#24646 winebarrel/ssowrap/ssowrap 1 0%
#24647 homebrew/linux-fonts/font-noto-mono 1 0%
#24648 hyano/sigrok/sigrok-firmware-fx2lafw 1 0%
#24649 ayakovlenko/tools/caly 1 0%
#24650 usingcoding/public/gostore 1 0%
#24651 ttl256/test-goreleaser-workflow/test-goreleaser-workflow 1 0%
#24652 fnando/tap/ll 1 0%
#24653 cloudquery/tap/cloudquery@6.12.9 1 0%
#24654 drfill/liquidsoap/ocaml-cry 1 0%
#24655 martensson/tpm/tpm 1 0%
#24656 paribu/acervus/acervus 1 0%
#24657 compose-language-service 1 0%
#24658 hadlow/gopublish/gopublish 1 0%
#24659 intona/ethernet-debugger/nose 1 0%
#24660 fhopfensperger/tap/json-log-to-human-readable 1 0%
#24661 z80oolong/eaw/neomutt-head 1 0%
#24662 sidaf/pentest/laudanum 1 0%
#24663 t-kikuc/tap/ecstop 1 0%
#24664 chenrui333/tap/yor 1 0%
#24665 front-matter/invenio/invenio 1 0%
#24666 alcb1310/alcb1310/cli-tool 1 0%
#24667 takayanagishinnosuke/tap/logs-ecstask 1 0%
#24668 moshebe/pkg/gtrace 1 0%
#24669 konveyor/move2kube/move2kube@0.3.11 1 0%
#24670 brewsci/science/uproc 1 0%
#24671 libquvi 1 0%
#24672 glezseoane/tap/folstamp 1 0%
#24673 webflo/drush/drush-launcher 1 0%
#24674 daymeijroos/fabric/cli 1 0%
#24675 alejandrosuero/aome/gil 1 0%
#24676 microsoft/mssql-release/msodbcsql17@17.7.1.1 1 0%
#24677 cartavis/tap/zstd 1 0%
#24678 cartavis/tap/xz 1 0%
#24679 boynton/tap/ell 1 0%
#24680 druagoon/brew/shinc 1 0%
#24681 celo-org/brew/celocli 1 0%
#24682 kabel/pecl/php@8.3-phalcon 1 0%
#24683 robotlocomotion/director/sphinx-doc@1.8 1 0%
#24684 hrshankar2002/hrshankar2002/ungitz 1 0%
#24685 hopinc/tap/hop 1 0%
#24686 planetscale/pscale/pscale 1 0%
#24687 njuettner/n26/n26 1 0%
#24688 chenrui333/tap/jl 1 0%
#24689 flaticols/apps/bump 1 0%
#24690 eengl/nceplibs/nceplibs-sp 1 0%
#24691 coherent-partners/cspark/cspark 1 0%
#24692 osx-cross/avr/avr-gcc@7 1 0%
#24693 pthariensflame/assorted/ble-sh 1 0%
#24694 pthariensflame/assorted/mdbook-linkcheck 1 0%
#24695 cloudquery/tap/cloudquery@3.29.2 1 0%
#24696 pthariensflame/assorted/utfcheck 1 0%
#24697 clojure/tools/clojure@1.12.0.1488 1 0%
#24698 linushall/kubemax/kubemax 1 0%
#24699 namolnad/formulae/local-well-known 1 0%
#24700 jeffrey-dev/riscv/riscv-openocd 1 0%
#24701 echawk/pkgs/sbcl-perf 1 0%
#24702 amlel-el-mahrouss/mips64/mips64-elf-binutils 1 0%
#24703 dtan4/tools/k8stail 1 0%
#24704 kabel/pecl/php@8.1-pdo-sqlsrv 1 0%
#24705 hologos/core/node@14 1 0%
#24706 streamdal/tap/plumber 1 0%
#24707 glensc/tap/squashfuse 1 0%
#24708 brewforge/more/excalidraw-converter 1 0%
#24709 weaveworks/tap/eksctl@0.180.0 1 0%
#24710 python-click 1 0%
#24711 zph/zph/pagerduty-cli 1 0%
#24712 alvaro-aguirre-cl/esopipes/esopipe-gravity 1 0%
#24713 mopidy/mopidy/mopidy-beets 1 0%
#24714 psliwka/formulae/browserpass 1 0%
#24715 zuisong/tap/maven4 1 0%
#24716 krysia/old-formulas/lightgbm@4.1.0 1 0%
#24717 wsl-hello-sudo 1 0%
#24718 sonderformat-llc/fusionauth/fusionauth-search 1 0%
#24719 warexify/edk2-buildtools/bootoption 1 0%
#24720 tueda/form/form@4.3.0 1 0%
#24721 z80oolong/fonts/cica 1 0%
#24722 hack-different/jailbreak/img4lib 1 0%
#24723 ivan-leschinsky/taketo-go/taketo-go 1 0%
#24724 brejoc/tap/gosgp 1 0%
#24725 ebarajas/tap/fmt 1 0%
#24726 render-oss/render/render-deprecated 1 0%
#24727 senoja/formulas/kube-locate 1 0%
#24728 brewsci/bio/swipe 1 0%
#24729 cheuk0324/k6-v39/k6-v39 1 0%
#24730 kurtosis-tech/tap/kurtosis-cli@0.88.9 1 0%
#24731 johanvdhaegen/tools/sagemaker-ssh-helper 1 0%
#24732 kost/ulx3s/icestorm 1 0%
#24733 gcoguiec/tap/nextpnr-ice40 1 0%
#24734 fasd_fork 1 0%
#24735 ctxsh/keg/genie 1 0%
#24736 lprhodes/homebrew/sunshine 1 0%
#24737 uptime-cli/cli/uptimectl 1 0%
#24738 eclipse-iofog/iofogctl/iofogctl 1 0%
#24739 speakeasy-api/tap/speakeasy@1.405.6 1 0%
#24740 filledstacks/tap/sessionmate 1 0%
#24741 geckorobotics/tap/gecko-cli 1 0%
#24742 piscope/twopi/test 1 0%
#24743 danielbair/tap/kramdown 1 0%
#24744 modd 1 0%
#24745 px4/px4/gcc-arm-none-eabi-1021 1 0%
#24746 libpq@16.4 1 0%
#24747 twilio/brew/twilio@5.8.1 1 0%
#24748 dhth/tap/tbll 1 0%
#24749 bitnomial/bitnomial/libinotify-kqueue 1 0%
#24750 golioth/tap/goliothctl 1 0%
#24751 shuhaku/haku/haku 1 0%
#24752 jamescoleuk/taps/lk 1 0%
#24753 hiwanz/proxmark3/proxmark3 1 0%
#24754 hazelcast/hz/hazelcast-5.1 1 0%
#24755 kota65535/alternator/alternator 1 0%
#24756 ilmalakhov/fuckoff/fuckoff 1 0%
#24757 denji/nginx/vts-nginx-module 1 0%
#24758 nnsuite/neural-network/nnstreamer 1 0%
#24759 irth/irth/kacpersh 1 0%
#24760 jackt72xp/cli/name 1 0%
#24761 steveh/custom/power 1 0%
#24762 petere/sgml/openjade 1 0%
#24763 cxwx/cxbrew/cpp-sort 1 0%
#24764 lizardbyte/homebrew/icu4c@76 1 0%
#24765 lizardbyte/homebrew/cmake 1 0%
#24766 lizardbyte/homebrew/boost 1 0%
#24767 splashtopinc/workspace/sdpc 1 0%
#24768 t4d-suites/tools4dev/tools4dev-devel 1 0%
#24769 watawuwu/tap/prometheus-series-diff 1 0%
#24770 splhack/splhack/cmigemo-mk 1 0%
#24771 cxwx/cxbrew/umesimd 1 0%
#24772 cxwx/cxbrew/vecgeom 1 0%
#24773 linuxbrew/xorg/xkbutils 1 0%
#24774 nodenv/nodenv/nodenv-default-npmrc 1 0%
#24775 infisical/get-cli/infisical@0.31.2 1 0%
#24776 tigergraph-devlabs/tg/tgcli 1 0%
#24777 simplegrep 1 0%
#24778 petere/postgresql/postgresql@18 1 0%
#24779 jagregory/tools/one-password-env 1 0%
#24780 homebrew/core.bak/tidy-html5 1 0%
#24781 patrickcping/davinci-pingcli/davinci-pingcli 1 0%
#24782 hahwul/mzap/mzap 1 0%
#24783 keith/formulae/arcanist 1 0%
#24784 blacktop/tap/disass 1 0%
#24785 tealtools/puls/puls 1 0%
#24786 yarlson/invo/invo 1 0%
#24787 unilock/unilock/idevicerestore 1 0%
#24788 unclecode/ccat4ai/ccat4ai 1 0%
#24789 sandersaelmans/qemu/qemu 1 0%
#24790 cloudquery/tap/cloudquery@3.9.2 1 0%
#24791 arc-eng/tap/arcane-cli 1 0%
#24792 mchmarny/dctl/dctl 1 0%
#24793 bjasspa/jasspa/microemacs-spelling-enus 1 0%
#24794 toonetown/extras/pretty-prompt 1 0%
#24795 clock-rs 1 0%
#24796 openfortivpn-saml 1 0%
#24797 bkeane/taps/monad 1 0%
#24798 mil-ad/formulae/skhd 1 0%
#24799 zhoushoulin/openfst/openfst 1 0%
#24800 fvm 1 0%
#24801 winebarrel/jl/jlsort 1 0%
#24802 gavasc/taps/tica 1 0%
#24803 libimobiledevice-glue-1.0 1 0%
#24804 jasonpritchard/cc-tool/cc-tool 1 0%
#24805 lenditkr/ubto/ubto 1 0%
#24806 brewsci/science/zoltan 1 0%
#24807 danielsincere/tap/sincere_music_text 1 0%
#24808 will397/devtools/kubectl 1 0%
#24809 will397/devtools/jq 1 0%
#24810 nometrii/retrospec/retrospec 1 0%
#24811 geldata/tap/edgedb-cli 1 0%
#24812 t4d-suites/tools4dev/tools4dev@7.2 1 0%
#24813 chigraph/kf5/clazy 1 0%
#24814 jasonuc/tap/greentext 1 0%
#24815 gocardless/taps/dispatcher 1 0%
#24816 schloegl/biosig/mexbiosig 1 0%
#24817 lyks012/tmp2/doorctl 1 0%
#24818 pen/tap/airport-json 1 0%
#24819 denji/nginx/lua-nginx-module 1 0%
#24820 committy 1 0%
#24821 melonmanchan/lr/lr 1 0%
#24822 defenseunicorns/tap/zarf@0.32.2 1 0%
#24823 docker-machine-driver-hyperkit 1 0%
#24824 koba-e964/tap/rfactor 1 0%
#24825 dotunj/tools/pvent 1 0%
#24826 yugabyte/yugabytedb/yb-voyager@1.8.3 1 0%
#24827 sv99/sv99/apg 1 0%
#24828 databricks/tap/databricks-bugbash-dashboards 1 0%
#24829 rdump/versions/kubernetes-cli@1.18.8 1 0%
#24830 pthariensflame/assorted/olden 1 0%
#24831 pthariensflame/assorted/unibetacode 1 0%
#24832 drfill/liquidsoap/libshine 1 0%
#24833 sky93/file-mapper/file-mapper 1 0%
#24834 chenrui333/tap/rdsview 1 0%
#24835 knative/client/kn@1.16 1 0%
#24836 lighthouse@7.0.0b3 1 0%
#24837 psychedelicious/cpdf/cpdf 1 0%
#24838 namolnad/formulae/jt 1 0%
#24839 fairrootgroup/fairsoft/fairsoft@21.4 1 0%
#24840 grahambrooks/genie/genie 1 0%
#24841 scrape-it-now 1 0%
#24842 pkshimizu/tap/co 1 0%
#24843 echawk/tap/sbcl-perf 1 0%
#24844 david4096/camber-cli/cambercli 1 0%
#24845 arzg/vim-strand/strand 1 0%
#24846 davidledwards/tcolor/tcolor 1 0%
#24847 kabel/pecl/php@8.3-yaml 1 0%
#24848 chenpeizhi/zathura/girara 1 0%
#24849 standout/tap/elasticsearch@5.6 1 0%
#24850 empeje/bos-cli/bos-loader 1 0%
#24851 dropsite-ai/tap/ghinstaller 1 0%
#24852 will/cb/cb 1 0%
#24853 manhduckimei/expo-kit/expo-kit-cli 1 0%
#24854 dekker1/minizinc/flatzingo 1 0%
#24855 gibsjose/crypto/zxcv 1 0%
#24856 kjalnes/core/nginx 1 0%
#24857 rrotter/useful/op 1 0%
#24858 nicolasleal570/termimail/termimail 1 0%
#24859 ttl256/tap-test-goreleaser-workflow/test-goreleaser-workflow 1 0%
#24860 mashiike/tap/shimesaba 1 0%
#24861 exodious/bino-tap/bino 1 0%
#24862 yoshitakamo/bio/coot 1 0%
#24863 hdevalke/formulae/convco 1 0%
#24864 rockyluke/devops/pip-pydocstyle 1 0%
#24865 daltonsw/tap/aocli 1 0%
#24866 nore 1 0%
#24867 aerobounce/tap/trash 1 0%
#24868 cloudquery/tap/cloudquery@6.12.0 1 0%
#24869 uzimaru0000/tap/oglens 1 0%
#24870 t18n/taps/uninstall-cli 1 0%
#24871 susheel/keylightctl/keylightctl 1 0%
#24872 toonetown/extras/java-env 1 0%
#24873 bluebricks-co/bricks/bricks@1.21.1-rc4 1 0%
#24874 little-forest/tap/glow@1.5 1 0%
#24875 toonetown/extras/mate-editor 1 0%
#24876 rami3l/tap/clavy 1 0%
#24877 benwilber/tinysse/tinysse-bin 1 0%
#24878 sentient-lang/lingeling/lingeling 1 0%
#24879 meowlgmee/macos-cross-toolchains/x86_64-linux-gnu@8.5.0-glibc2.28 1 0%
#24880 clojure/tools/clojure@1.11.1.1165 1 0%
#24881 privoit/awsroletool/aws-role-tool 1 0%
#24882 scoutos/scoutos-cli/scoutos-cli 1 0%
#24883 fairwindsops/tap/vault-token-injector 1 0%
#24884 fozhyn/naiveproxy/naiveproxy 1 0%
#24885 cxwx/cxbrew/async_simple 1 0%
#24886 astronomer/scripts/tsh_helpers 1 0%
#24887 authzed/tap/spicedb@1.35.0 1 0%
#24888 dropsite-ai/tap/llmfs 1 0%
#24889 infomaker/core/cca-downloader 1 0%
#24890 mutagen-io/mutagen/mutagen@0.10 1 0%
#24891 amoosbr/taps/ratchet 1 0%
#24892 patrickdappollonio/tap/duality 1 0%
#24893 oven-sh/bun/bun@0.5.4 1 0%
#24894 balliasghar/apps/killport 1 0%
#24895 homebrew/services/opencv 1 0%
#24896 bazelbuild/tap/bazel@7.4.1 1 0%
#24897 thoran/tap/dehashrocketify 1 0%
#24898 thoran/tap/gem-homepage 1 0%
#24899 astronomer/tap/astro@1.27.0 1 0%
#24900 shiwano/formulas/misakura 1 0%
#24901 pkarpovich/apps/turtle-harbor 1 0%
#24902 papucci/hep/cernlib 1 0%
#24903 enuesaa/tap/cpbuf 1 0%
#24904 papucci/hep/fjcontrib 1 0%
#24905 scivisum/tap/openjdk@11 1 0%
#24906 farmotive/k8s/kex 1 0%
#24907 vetlewi/formula/geant4 1 0%
#24908 styrainc/packages/load 1 0%
#24909 chenrui333/tap/aider 1 0%
#24910 nsis-dev/makensis/makensis@3.08 1 0%
#24911 vosslab/science/archey 1 0%
#24912 nuzur/tap/nuzur-cli 1 0%
#24913 andyjv/icu4c-old/icu4c 1 0%
#24914 boyanxu/brew/superhtml 1 0%
#24915 aaguirreo/esopipelines/esopipe-fors-recipes 1 0%
#24916 qpdf@11 1 0%
#24917 anarchivist/espeak-ng/mbrola 1 0%
#24918 metrumresearchgroup/tap/bbi 1 0%
#24919 tmp-moon/beamlit/beamlit 1 0%
#24920 nicarl/somafm/somafm 1 0%
#24921 terrable-dev/tap/terrable 1 0%
#24922 homebrew/linux-fonts/font-liberation 1 0%
#24923 bell-sw/liberica/liberica-jdk 1 0%
#24924 oto_cli 1 0%
#24925 thywilljoshua/lokey-cli/lokey 1 0%
#24926 clojure/tools/clojure@1.11.1.1107 1 0%
#24927 sirrend/products/terrap 1 0%
#24928 z80oolong/eaw/nano@8.3 1 0%
#24929 viamrobotics/brews/ceres-solver@2.1 1 0%
#24930 homebrew/linux-fonts/font-recursive 1 0%
#24931 mkforge/mkforge/mkforge 1 0%
#24932 cadlagtrader/tap/timescaledb 1 0%
#24933 decors/cipherscan/cipherscan 1 0%
#24934 datum-cloud/tap/cli 1 0%
#24935 datadog/tap/vault 1 0%
#24936 speakeasy-api/tap/speakeasy@1.501.1 1 0%
#24937 start9labs/sdk/start-cli@0.3.6-alpha15 1 0%
#24938 dkorunic/liblognorm/liblognorm 1 0%
#24939 remino/remino/netrc 1 0%
#24940 amands98/tap/harbor 1 0%
#24941 asd 1 0%
#24942 hmr/tmux-hmr/tmux-hmr@3.4 1 0%
#24943 donn/magic/magic 1 0%
#24944 hazelcast/hz/hazelcast@4.2021.06 1 0%
#24945 cowboy-bebug/tap/cfor 1 0%
#24946 kennethreitz/pythons/python-2.5 1 0%
#24947 homebrew/cask/openjdk 1 0%
#24948 louis-jan/cortex/cortexso 1 0%
#24949 webispy/sdk/nugu-daemon 1 0%
#24950 webispy/sdk/libnugu-epd 1 0%
#24951 microsoft/mssql-release/mssql-tools@17.7.1.1 1 0%
#24952 pgmtc/repo/le 1 0%
#24953 dutchcoders/elastico/elastico 1 0%
#24954 txn2/tap/dmk 1 0%
#24955 twilio/brew/twilio@5.20.1 1 0%
#24956 troy4eg/kphp/php@7.4 1 0%
#24957 agent-hellboy/agent-hellboy-formula/ipurity 1 0%
#24958 adplay 1 0%
#24959 jq-cao/llvm/llvm@11 1 0%
#24960 maxim-belkin/xorg/xev 1 0%
#24961 crayon3shawn/tap/mcp-cli-manager 1 0%
#24962 turbot/tap/steampipe@1.0.3 1 0%
#24963 aconchillo/guile/guile-email 1 0%
#24964 kabel/pecl/php@8.1-phalcon 1 0%
#24965 sublist3r 1 0%
#24966 hazelcast/hz/hazelcast-management-center@5.1.4 1 0%
#24967 keyutils 1 0%
#24968 inspectr-hq/inspectr/inspectr 1 0%
#24969 streamnative/internal/apiserver-builder 1 0%
#24970 klaxit/postgresql/postgresql@13.4 1 0%
#24971 arthur1/tap/semconview-go 1 0%
#24972 authzed/tap/spicedb@1.25.0 1 0%
#24973 psviderski/tap/uncloud 1 0%
#24974 hellooraculi/komiser/komiser 1 0%
#24975 tomozo6/tap/moray 1 0%
#24976 zenginechris/tap/devx 1 0%
#24977 stephanosio/zephyr/zephyr-qemu 1 0%
#24978 stephanosio/zephyr/zephyr-crosstool 1 0%
#24979 swordfaith/pentest/sec_lists 1 0%
#24980 oven-sh/bun/bun@1.2.6 1 0%
#24981 golangci-lint-gromgit 1 0%
#24982 moves-rwth/misc/carl-storm 1 0%
#24983 andgohq/tools/convert-mov-to-png 1 0%
#24984 steveh/custom/lilregie-cli 1 0%
#24985 kabel/pecl/php@8.2-sqlsrv 1 0%
#24986 astronomer/tap/astro@0.21.0 1 0%
#24987 termin/termin/erutaso 1 0%
#24988 openssl 1 0%
#24989 liuchonghui/tap/tool_bak 1 0%
#24990 doodlescheduling/xunpack/xunpack 1 0%
#24991 diakonos 1 0%
#24992 mikelorant/tap/kube-yaml-sort 1 0%
#24993 stefanocoretta/smallcaps/rproj 1 0%
#24994 thefanatr/gtkwave/gtkwave 1 0%
#24995 tk04/tap/genql 1 0%
#24996 bl4cc4t/vsplugins/vsp-deblock 1 0%
#24997 clintonsteiner/mkdocs-material/dagger 1 0%
#24998 brewsci/science/perf 1 0%
#24999 brewsci/science/mantaflow 1 0%
#25000 brewsci/science/m4ri 1 0%
#25001 tillig/mods/libidn2 1 0%
#25002 brewsci/science/elemental 1 0%
#25003 brewsci/science/celera-assembler 1 0%
#25004 hyaxia/ncju/ncju 1 0%
#25005 tarantool/tap/tarantool@1.10 1 0%
#25006 ensembl/cask/assembly-mapping 1 0%
#25007 xfangfang/wiliwili/harfbuzz-wiliwili 1 0%
#25008 remino/remino/randgen 1 0%
#25009 baransu/simulation/cmake@3.21.4 1 0%
#25010 az5app/tap/swift-ocr 1 0%
#25011 cjsaylor/tap/jrnl 1 0%
#25012 twilio/brew/twilio@5.16.2 1 0%
#25013 openfga/tap/fga@0.5.3 1 0%
#25014 ctc97/gnuddha/gnuddha 1 0%
#25015 ni 1 0%
#25016 linuxbrew/xorg/xkill 1 0%
#25017 dfstudio/dfstudio/dynamodb-daemon 1 0%
#25018 a5huynh/brew/zasm 1 0%
#25019 cavpp/cavpp/cavppers 1 0%
#25020 tgragnato/tap/go-dev 1 0%
#25021 tgragnato/tap/graphviz 1 0%
#25022 derekkwok/python/python34 1 0%
#25023 vrtulka23/scinumtools/exs 1 0%
#25024 tifrueh/mytap/jd 1 0%
#25025 wack/tap/multi 1 0%
#25026 raystack/tap/optimus 1 0%
#25027 agent-hellboy/agent-hellboy-formula/fmsh 1 0%
#25028 seanrolszewski/formulae/muter 1 0%
#25029 fickoo12/kleopatra4mac/kleopatra 1 0%
#25030 cqlabs/dcm/dcm@1.21.1 1 0%
#25031 createchstudio/cpc/cpc-cn 1 0%
#25032 iamsinghkrishna/php/php@7.2 1 0%
#25033 thestormforge/tap/konjure 1 0%
#25034 dbt-labs/dbt/dbt@0.15.3 1 0%
#25035 chatziko/tap/or-tools@8.1 1 0%
#25036 homebrew/cask-versions/elixir@1.17.2 1 0%
#25037 wsonetunnel/tunnel/dux@2.2 1 0%
#25038 naba-nyan/formulae/git-prompt.zsh 1 0%
#25039 allegro-internal/tap/allegro-rewrite 1 0%
#25040 brewsci/science/cufflinks 1 0%
#25041 slpixe/bitwarden-secrets/bitwarden-secrets-manager 1 0%
#25042 d235j/ios-restore-tools/img1tool 1 0%
#25043 unrevre/ales/yabai 1 0%
#25044 lucasepe/cli-tools/drop 1 0%
#25045 shushpan/tap/aws-ssm-connect 1 0%
#25046 brett-hodges/kitops/kitops 1 0%
#25047 infisical/get-cli/infisical@0.31.1 1 0%
#25048 mlkyh/amc/amc-pango 1 0%
#25049 zhaolixin1/brewtap/pdf2htmlex 1 0%
#25050 padok-team/tap/baywatchdog 1 0%
#25051 nsis-dev/makensis/makensis@2.51 1 0%
#25052 envsecrets/tap/envs 1 0%
#25053 tomorrowkey/self/android-screen-record 1 0%
#25054 josercc/taps/metax 1 0%
#25055 guitmz/tools/transferwise 1 0%
#25056 theycallhermax/core/blueprint-compiler 1 0%
#25057 hughcube/formula/ots 1 0%
#25058 brewsci/bio/legsta 1 0%
#25059 owenvoke/tap/rugby-schedule 1 0%
#25060 owenvoke/tap/noscl 1 0%
#25061 dicedb-cli 1 0%
#25062 astronomer/tap/astro@0.28.0 1 0%
#25063 andyshinn/meshtastic/meshtastic 1 0%
#25064 thomasgeissl/tools/ofmididebugger 1 0%
#25065 jfb3615/repo/qat 1 0%
#25066 v2raya/v2raya/v2raya-git 1 0%
#25067 turbot/tap/powerpipe@1.1.0 1 0%
#25068 bradleywaffles/barpsnake/barpsnake 1 0%
#25069 webhikari/core/automake 1 0%
#25070 daymeijroos/fabric-ai/fabric-ai 1 0%
#25071 brewsci/bio/minigraph 1 0%
#25072 cloud66/tap/c66cx 1 0%
#25073 foundev/sperf/sperf 1 0%
#25074 headstartai/taps/friday-beta 1 0%
#25075 webhikari/core/readline 1 0%
#25076 chenrui333/tap/yajsv 1 0%
#25077 pydocstyle 1 0%
#25078 libgnomecanvas 1 0%
#25079 cxwx/cxbrew/xtensorfftw 1 0%
#25080 freecad/freecad/pyside6_py312 1 0%
#25081 pointrlabs/vendor/maplibre-gl-native 1 0%
#25082 yann-r/canettes/teyjus 1 0%
#25083 sjorek/php/composer25-php82 1 0%
#25084 epam/hubctl/hubctl 1 0%
#25085 flight-school/formulae/ner 1 0%
#25086 homebrew/portable/portable-ruby 1 0%
#25087 pointrlabs/vendor/botan 1 0%
#25088 suzuki-shunsuke/ghomfc/ghomfc 1 0%
#25089 s-matsubara/tap/git-auto 1 0%
#25090 linuxbrew/xorg/encodings 1 0%
#25091 gavzheng/wutong/wutong 1 0%
#25092 nobbmaestro/tap/lazyhis@0.1.0-beta 1 0%
#25093 c0ntinuum/scriptcs/scriptcs 1 0%
#25094 hokita/tap/skunk 1 0%
#25095 miroapp-dev/miro/miro-scaffold 1 0%
#25096 sjorek/php/composer-php72@23 1 0%
#25097 malleefoul/etc/kf6-kcoreaddons 1 0%
#25098 yogev 1 0%
#25099 summerlabs/punic/punic 1 0%
#25100 fnctrloption/xfce/xfce4-dev-tools 1 0%
#25101 caseyscarborough/subtitler/subtitler 1 0%
#25102 hakky54/senzu/senzu 1 0%
#25103 you54f/brew/buildah 1 0%
#25104 i386-elf-binutils 1 0%
#25105 bww/stable/psctl 1 0%
#25106 zouchengli/tap/nexus@3 1 0%
#25107 drone/drone/drone@1.3.0 1 0%
#25108 onmetal-dev/tap/cli@0.0.1-alpha-9_18 1 0%
#25109 yoshitakamo/bio2/cootgtk4 1 0%
#25110 tsukinoko-kun/tap/portal 1 0%
#25111 nejohnson2/sdr/rtlsdr 1 0%
#25112 goodenoughl/gitpush/gitpush 1 0%
#25113 z80oolong/tmux/tmux.zool.jpn.org 1 0%
#25114 noliaphyta/brightness-test/brightness-test 1 0%
#25115 maks112v/tap/photomanager 1 0%
#25116 odpf/taps/dex 1 0%
#25117 sn-edit/stable/sn-edit 1 0%
#25118 drone/drone/drone@1.0.8 1 0%
#25119 leapps-org/leapps/ileapp 1 0%
#25120 aromarious/private/clear-notifications 1 0%
#25121 dlr-ts/sumo/sumo@1.6.0 1 0%
#25122 linuxbrew/xorg/libevdev 1 0%
#25123 meterup/packages/go 1 0%
#25124 sidaf/pentest/posh-secmod 1 0%
#25125 mediaarea/mediaarea/movmetaedit-gui 1 0%
#25126 homebrew/services/llvm@17 1 0%
#25127 f365-x/tap/ragweaver 1 0%
#25128 iamsinghkrishna/php/php@7.0 1 0%
#25129 thisisjoshford/nearai/nearai 1 0%
#25130 nwtgck/handy-sshd/handy-sshd 1 0%
#25131 roboticslibrary/rl/soqt 1 0%
#25132 jfallows/tap/zilla 1 0%
#25133 rdnx-cli 1 0%
#25134 prgres/formulae/clickup-tui 1 0%
#25135 pretender 1 0%
#25136 gabebw/formulae/candle 1 0%
#25137 darrikonn/formulae/cheat 1 0%
#25138 chenrui333/tap/go-junit-report 1 0%
#25139 ttskch/composer/composer@1.10.0-rc 1 0%
#25140 ttskch/composer/composer@1.3.0-rc 1 0%
#25141 flatty 1 0%
#25142 cardanosolutions/kupo/kupo 1 0%
#25143 code-troopers/tap/ct 1 0%
#25144 aaguirreo/esopipelines/telluriccorr 1 0%
#25145 lifepillar/appleii/napple1 1 0%
#25146 conways-glider/tap/ct 1 0%
#25147 homebrew/linux-fonts/font-departure-mono-nerd-font 1 0%
#25148 rollkit 1 0%
#25149 emizzle/nimv/nimv 1 0%
#25150 sidaf/pentest/odat 1 0%
#25151 homebrew/cask/node@14.17.0 1 0%
#25152 runpod/runpod/runpodctl 1 0%
#25153 salilab/salilab/hdf5@1.10.7 1 0%
#25154 knative/client/kn@1.10 1 0%
#25155 pachyderm/tap/pachctl@1.10 1 0%
#25156 celest-dev/celest/celest@0.4.4 1 0%
#25157 ensembl/external/lastz 1 0%
#25158 linux-headers@4.15 1 0%
#25159 gerritforge/gerrit/gerrit@3.10.4 1 0%
#25160 icu4c@73.1 1 0%
#25161 bl4cc4t/vsplugins/vsp-nnedi3 1 0%
#25162 bmilliet/tap/kcommit 1 0%
#25163 dev01d/tap/inv 1 0%
#25164 vrecord_gg 1 0%
#25165 robbieclarken/custom/lua@5.1 1 0%
#25166 somoscuatro/wp-blocks-library/wp-blocks-library 1 0%
#25167 rrotter/private/tanka 1 0%
#25168 swishswushpow/instantclient/instantclient-arm64-basiclite 1 0%
#25169 pteich/tap/elastic-query-export 1 0%
#25170 pulck/mpvdeps/libice 1 0%
#25171 nostorg/nostr/nostr-tool 1 0%
#25172 colinshr/core4/mongosh 1 0%
#25173 xcproj 1 0%
#25174 colinshr/core4/icu4c 1 0%
#25175 brotherbui/homebrew/rpcauth 1 0%
#25176 lukaspustina/os/nmap-analyze 1 0%
#25177 andrewwillmott/stuff/ev 1 0%
#25178 isometry/tap/ghat 1 0%
#25179 akuity/tap/kargo@1.0 1 0%
#25180 imas/rdflint/rdflint 1 0%
#25181 planesailingio/pigeonhole/pigeonhole 1 0%
#25182 danpashin/repo/docopt 1 0%
#25183 deviceinsight/packages/azctx 1 0%
#25184 textlambda/brew/tl 1 0%
#25185 cozycactus/tap/librx888 1 0%
#25186 itmst71/tools/cda 1 0%
#25187 thmour/ceph-client/ceph-client 1 0%
#25188 umaidashi/tap/grr 1 0%
#25189 buildpacks/tap/unzip 1 0%
#25190 pulck/mpvdeps/libxfixes 1 0%
#25191 tiannalopes/zhoosh/zhoosh 1 0%
#25192 wycleffsean/fdw/postgresql_mysql_fdw 1 0%
#25193 ownia/ownia/macosvm 1 0%
#25194 clarkema/nomad/x12pp 1 0%
#25195 pulck/mpvdeps/pulseaudio 1 0%
#25196 pulck/mpvdeps/vulkan-headers 1 0%
#25197 mjhanninen/sour/nreplops-tool 1 0%
#25198 jackiexiao/tap/macvimswitch 1 0%
#25199 linuxbrew/fonts/font-source-serif-4 1 0%
#25200 loomhq/tap/mutagen-compose@0.17 1 0%
#25201 minuscorp/moduleinterface/moduleinterface 1 0%
#25202 slizco/i386-jos-elf-gcc/i386-jos-elf-binutils 1 0%
#25203 lvrach/tap/git-hooks 1 0%
#25204 hasnep/tap/meta-package-manager 1 0%
#25205 nghiadhd-2702/core/opencv@3 1 0%
#25206 mesa_moltenvk 1 0%
#25207 deadpool110/core/openjdk@22.0.2 1 0%
#25208 simse/tap/qc 1 0%
#25209 smillerdev/php-ext/php-autoload-psr 1 0%
#25210 zloesabo/nettools/wuzz 1 0%
#25211 golangci/tap/golangci-lint@1.60.3 1 0%
#25212 akirakyle/qemu-virgl/libangle 1 0%
#25213 homebrew/cask/dog 1 0%
#25214 wyne/tap/tz 1 0%
#25215 p1x3l101-10/personal/mindustry 1 0%
#25216 homebrew/linux-fonts/font-roboto-slab 1 0%
#25217 taggie 1 0%
#25218 appcircleio/cli/softnet 1 0%
#25219 opslevel/tap/opslevel-agent 1 0%
#25220 brewsci/bio/vcf2phylip 1 0%
#25221 easytocloud/tap/cloudx 1 0%
#25222 noqqe/tap/ipcalc 1 0%
#25223 bl4cc4t/vsplugins/vsp-fillborders 1 0%
#25224 madl1me/hemlock/hemlock 1 0%
#25225 104corp/tap/goam 1 0%
#25226 asimovplatform/tap/protoflow 1 0%
#25227 julienledem/thrift/thrift@0.19 1 0%
#25228 bottech/outcasts/cppzmq 1 0%
#25229 cloudflare-warp 1 0%
#25230 06chaynes/tfct/tfct 1 0%
#25231 apecloud/tap/kbcli@0.8.3 1 0%
#25232 mongodb-community-shell@4.4 1 0%
#25233 bell-sw/liberica/liberica-jdk@11 1 0%
#25234 danwlker/remind/remind@0.0.4-alpha 1 0%
#25235 nanov/rae-cli/rae-cli 1 0%
#25236 steviebps/realm/realm 1 0%
#25237 seanfisk/dmgbuild/dmgbuild 1 0%
#25238 hassaku63/tap/hello-cli 1 0%
#25239 unguiculus/gotf/gotf 1 0%
#25240 wiretrustee/client/wiretrustee 1 0%
#25241 axodotdev/tap/numbers-guy 1 0%
#25242 haderech/blanc/blanc 1 0%
#25243 n-wn/gdb-multiarch/gdb-multiarch 1 0%
#25244 calendly/tools/translations 1 0%
#25245 fukamachi/getac/getac 1 0%
#25246 smillerdev/php-ext/php-uopz 1 0%
#25247 as24-de/securedev/securedev 1 0%
#25248 mashiike/tap/stefunny 1 0%
#25249 anatasof/epsxe/epsxe 1 0%
#25250 psollars/stampit/stampit 1 0%
#25251 thoran/tap/chromedriver-install 1 0%
#25252 zkfmapf123/tap/friends 1 0%
#25253 adrianliechti/tap/kubectl-trail 1 0%
#25254 andersjanmyr/tap/redis-copy 1 0%
#25255 xingrz/vsplugins/lsmash 1 0%
#25256 automationd/tap/atun@0.4.0 1 0%
#25257 dbt-labs/dbt/dbt@0.15.0 1 0%
#25258 dbt-labs/dbt/dbt@0.14.3 1 0%
#25259 spectralops/tap/senv 1 0%
#25260 anhoder/repo/git-open 1 0%
#25261 vanadium/baku/madb 1 0%
#25262 jank 1 0%
#25263 vacxe/tap/apkinfoextractor 1 0%
#25264 solidsilver/tools/dtools 1 0%
#25265 moonfruit/tap/tengine 1 0%
#25266 wang-q/tap/phast 1 0%
#25267 clojure/tools/clojure@1.10.3.981 1 0%
#25268 calyptia/tap/calyptia@3.3.0 1 0%
#25269 aereal/unofficial-osx-mackerel-plugins/mackerel-agent-osx-plugins 1 0%
#25270 leoafarias/fvm/fvm@3.0.7 1 0%
#25271 jakepez/repo/archey2 1 0%
#25272 portolanetwork/portola-dev/portola.app 1 0%
#25273 fykaa/tap/kargo 1 0%
#25274 signavio/tap/cloudos-cli 1 0%
#25275 gityeop/tap/diskop 1 0%
#25276 moloco/tap/onetime-setup 1 0%
#25277 helpermethod/tap/connor 1 0%
#25278 lupbrew/packages/lupv 1 0%
#25279 tbxark/repo/xcode-tool 1 0%
#25280 cmake@2.8.0 1 0%
#25281 pablopunk/brew/odf 1 0%
#25282 sei-12/formulae/skin 1 0%
#25283 astropenguin/formulae/radex 1 0%
#25284 ahundt/robotics/trtk 1 0%
#25285 ahundt/robotics/azmq 1 0%
#25286 oven-sh/bun/bun@1.1.41 1 0%
#25287 himkt/himkt/kubectl-toggle_ctx 1 0%
#25288 codygarver/core/rubberband 1 0%
#25289 drfill/liquidsoap/ocaml-lo 1 0%
#25290 chenrui333/tap/simdjzon 1 0%
#25291 chenrui333/tap/buzz-lang 1 0%
#25292 mistydemeo/digipres/fluxengine-gui 1 0%
#25293 garethlau/floatingfile/floatingfile 1 0%
#25294 eclipse-zenoh/zenoh/zenoh-backend-filesystem 1 0%
#25295 foomo/tap/ownbrew 1 0%
#25296 a5huynh/brew/cub-cli 1 0%
#25297 clusterlesshq/tap/clusterless 1 0%
#25298 naoty/misc/brewery 1 0%
#25299 monstarillo/monstarillo/monstarillo 1 0%
#25300 aconchillo/guile/guile-lsp-server 1 0%
#25301 thenorstroem/tap/spectools 1 0%
#25302 edlib 1 0%
#25303 szaydel/macos-cross-toolchains/x86_64-unknown-linux-gnu 1 0%
#25304 digital-cap/cap/capctl 1 0%
#25305 caiorodriguez/repo/openssl_custom 1 0%
#25306 brewforge/chinese/ltex-ls-plus 1 0%
#25307 anmho/taps/go-template-cli 1 0%
#25308 minio/stable/minkms 1 0%
#25309 amenophis1er/mktools/mktools 1 0%
#25310 bytom/bytom/bytom 1 0%
#25311 cxwx/cxbrew/csv2 1 0%
#25312 markbhasawut/markbhasawut/mac-linux-kdk 1 0%
#25313 nicholasdille/tap/ytt 1 0%
#25314 gardenbed/brew/emerge 1 0%
#25315 lizardbyte/homebrew/zstd 1 0%
#25316 nicholasdille/tap/diun 1 0%
#25317 lizardbyte/homebrew/libssh2 1 0%
#25318 millerapps/gowall/gowall 1 0%
#25319 joseluisq/paket/paket-src 1 0%
#25320 mivk/tap/qt-unfork 1 0%
#25321 42technologies/tap/apache-spark 1 0%
#25322 stackhawk/cli/hawk@3.7.0 1 0%
#25323 corvus-ch/tools/horcrux 1 0%
#25324 hashicorp/tap/terraform@1.5.7 1 0%
#25325 airsonic/airsonic/airsonic 1 0%
#25326 nicerloop/nicerloop/pcap-proxy 1 0%
#25327 cloudquery/tap/cloudquery@3.12.0 1 0%
#25328 horcrux2301/tap/potato 1 0%
#25329 julian/lean/mathlibtools 1 0%
#25330 okkun-sh/tap/albconv 1 0%
#25331 hellomattio/taps/protoc-gen-grpc-web 1 0%
#25332 bigstepinc/repo/metalcloud-cli 1 0%
#25333 winebarrel/kasa/kasa 1 0%
#25334 libhid 1 0%
#25335 romankurnovskii/awesome-brew/imagemagick 1 0%
#25336 ugroupmedia/pnp/php-grpc 1 0%
#25337 rofs-filtered 1 0%
#25338 laggardkernel/tap/adguardhome 1 0%
#25339 cppfw/tap/myci 1 0%
#25340 xcircuit 1 0%
#25341 burmudar/pgvector-12/pgvector 1 0%
#25342 mrered/cloudflarest/cfstarm64 1 0%
#25343 kak-lsp/kak-lsp/kak-lsp 1 0%
#25344 homebrew/linux-fonts/font-libre-baskerville 1 0%
#25345 txpipe/tap/cshell 1 0%
#25346 axodotdev/tap/gouda 1 0%
#25347 lightspeedretail/jinn/jinn-gaia 1 0%
#25348 davidchall/hep/hoppet 1 0%
#25349 meltwater/fks/helm3 1 0%
#25350 homebrew/linux-fonts/font-cozette 1 0%
#25351 homebrew/linux-fonts/font-lxgw-bright-tc 1 0%
#25352 ericharvey/informed/infrmdcr 1 0%
#25353 shirk/bochs/bochs 1 0%
#25354 hazelops/ize/ize-dev 1 0%
#25355 cmake@3.26 1 0%
#25356 thenextguy32/templative/templative 1 0%
#25357 ttskch/composer/composer@2.7.9 1 0%
#25358 justincbagley/tap/bgc_tools 1 0%
#25359 yohey/opencae/coolprop 1 0%
#25360 suprsend/tap/suprsend 1 0%
#25361 quodlibetor/git-fixup/git-fixup 1 0%
#25362 simplydanny/pass-extensions/pass-csv 1 0%
#25363 kwindowsystem 1 0%
#25364 kcrash 1 0%
#25365 lucianmocan/i386-elf-toolchain/i386-elf-gdb 1 0%
#25366 ethereum/ethereum/solidity@0.8.20 1 0%
#25367 homebrew/services/custom-shopify 1 0%
#25368 henkrehorst/php/valet-php@7.4 1 0%
#25369 szampier/pipelines/esopipe-uves-datastatic 1 0%
#25370 yan-aint-nickname/tanuki/tanuki 1 0%
#25371 hazelcast/hz/hazelcast-management-center@5.3.2 1 0%
#25372 psst 1 0%
#25373 dpo/openblas/hwloc@1.11 1 0%
#25374 morpheus-lab/morpheus-versions/morpheus@2.3.4 1 0%
#25375 jaxxstorm/tap/connecti 1 0%
#25376 jstkdng/ueberzugpp/ueberzugpp 1 0%
#25377 ghdwlsgur/govpn/govpn 1 0%
#25378 tavispalmer/kde/kglobalaccel 1 0%
#25379 uppasd 1 0%
#25380 gfcroft/tap/simple-pass 1 0%
#25381 mindflowai/mindflow/mindflow 1 0%
#25382 osgeo/osgeo4mac/osgeo-libkml 1 0%
#25383 bitrise-io/den-agent/bitrise-den-agent@2.1.26 1 0%
#25384 lamhaison/formulae/assume-role-linux 1 0%
#25385 mistydemeo/digipres/jhove 1 0%
#25386 mht208/formal/verit 1 0%
#25387 markuspunz12/acdismac/acdismac 1 0%
#25388 markuspunz12/acdislinux/acdislinux 1 0%
#25389 homebrew/linux-fonts/font-qwitcher-grypen 1 0%
#25390 vinceanalytics/tap/vince 1 0%
#25391 digitalspacestdio/common/python@2 1 0%
#25392 alexanderflink/envop/envop 1 0%
#25393 shakh-rezolve/warden/warden 1 0%
#25394 yugabyte/tap/yb-voyager@1.8.2 1 0%
#25395 davep/homebrew/textual-query-sandbox 1 0%
#25396 libpq@15.4 1 0%
#25397 raymondytian/splix/splix 1 0%
#25398 gtdmmb/core/rnastructviz 1 0%
#25399 jeffschofield/apollo/jsapollo-beta 1 0%
#25400 kcompletion 1 0%
#25401 ef4203/core/ikos 1 0%
#25402 justintime50/formulas/onepass 1 0%
#25403 six519/tap/titik 1 0%
#25404 pycoral 1 0%
#25405 freecad/freecad/coin3d_py310 1 0%
#25406 fireharp/tap/cursor-rules 1 0%
#25407 donn/lm4tools/lm4tools 1 0%
#25408 nakamura-shuta/tap/cfl 1 0%
#25409 eclipse-zenoh/zenoh/libzenohcpp 1 0%
#25410 you54f/brew/krunvm 1 0%
#25411 kabel/pecl/php@8.1-xhprof 1 0%
#25412 homebrew/linux-fonts/font-flow-circular 1 0%
#25413 atlanticaccent/lld-19/lld@19.1.5 1 0%
#25414 brianm/tools/dice 1 0%
#25415 dream11/tools/streams-cli@0.0.1-alpha 1 0%
#25416 vinugawade/cli-tools/s-php 1 0%
#25417 meowmeowmeowcat/taps/spellcheck 1 0%
#25418 joacoc/psqlx-tap/psqlx 1 0%
#25419 izumin5210/tools/grapi 1 0%
#25420 ddddddo/tap/kaisekisan 1 0%
#25421 jerilseb/tap/eclist 1 0%
#25422 openvpn2.5.1 1 0%
#25423 ryszard/ryszard/think 1 0%
#25424 animo/siera/siera 1 0%
#25425 tinderbackend/tools/kubectl 1 0%
#25426 kashin-j/kafka/kafka@2.8-jdk11 1 0%
#25427 marisa-trie 1 0%
#25428 tgtakaoka/mspgcc/texinfo@4.8 1 0%
#25429 borealisgroup/tools/bruce 1 0%
#25430 nodesource/nsolid/nsolid-jod 1 0%
#25431 uptech/oss/git-ps 1 0%
#25432 elasticsearch 1 0%
#25433 wdullaer/scotty/scotty 1 0%
#25434 rundeck/howto-cli-tool/howto 1 0%
#25435 awvwgk/qc/xtb 1 0%
#25436 segmentio/packages/piragua 1 0%
#25437 chenrui333/tap/klepto 1 0%
#25438 koalaops/koala-cli/koala 1 0%
#25439 oven-sh/bun/bun@1.1.39 1 0%
#25440 sjorek/php/composer28-php74 1 0%
#25441 sjorek/php/composer28-php82 1 0%
#25442 sjorek/php/composer28-php83 1 0%
#25443 homebrew/cask-versions/cocoapods@1.11.3 1 0%
#25444 simonkowallik/f5/ihac 1 0%
#25445 robinlieb/brew/validate-csv 1 0%
#25446 homebrew/linux-fonts/font-new-computer-modern 1 0%
#25447 alexlandau/ghpush/ghpush 1 0%
#25448 sourcegraph/src-cli/src-cli@5.11.0 1 0%
#25449 gallo-s-chingon/slugged/slugged 1 0%
#25450 fishtown-analytics/dbt/dbt@0.16.0 1 0%
#25451 jhandguy/tap/jira-prompt 1 0%
#25452 chenrui333/tap/venom 1 0%
#25453 meissnem/tap/python@3.8 1 0%
#25454 homebrew/linux-fonts/font-akshar 1 0%
#25455 homebrew/linux-fonts/font-allerta 1 0%
#25456 defenseunicorns/tap/uds@0.9.1 1 0%
#25457 fballiano/mageutils/magerun2 1 0%
#25458 rerost/tools/dagg 1 0%
#25459 octave-app/octave-app/octave-octapp@9.3.0 1 0%
#25460 brewdex/tflex/tflex 1 0%
#25461 getguaka/tap/guaka 1 0%
#25462 capsulehealth/tools/cia 1 0%
#25463 brew-tools/tap/mugo 1 0%
#25464 williamnharvey/delstore/delstore 1 0%
#25465 sjorek/php/composer28-php80 1 0%
#25466 sunzoje/bugwarrior/bugwarrior 1 0%
#25467 pg_hint_plan 1 0%
#25468 kyoh86/tap/git-vertag 1 0%
#25469 base-media-cloud/tap/iconik-io 1 0%
#25470 hermano-tools/hermano/hermano 1 0%
#25471 hazelcast/hz/hazelcast-management-center@6.0.0.snapshot 1 0%
#25472 raszi/tap/cljfmt 1 0%
#25473 flagship-io/abtasty-cli/abtasty-cli 1 0%
#25474 himanoa/tap/mdmg 1 0%
#25475 cfacorp/tap/aws-login 1 0%
#25476 nomadsherpa/nomadsherpa/local_sherpa 1 0%
#25477 followtheprocess/tap/git-rekt 1 0%
#25478 klausbrunner/tap/solarpos-native 1 0%
#25479 keith/formulae/cycript 1 0%
#25480 cdzombak/oss/periodic-docker-pull 1 0%
#25481 mocks 1 0%
#25482 vitkabele/tap/sss-cli 1 0%
#25483 ghc@8.8 1 0%
#25484 0risc/tap-test/twc 1 0%
#25485 lwayage/kikoplay/kikoplay 1 0%
#25486 nicerloop/nicerloop/hfsprescue 1 0%
#25487 olets/tap/zsh-abbr@4 1 0%
#25488 rrotter/tap/sequoia-sq 1 0%
#25489 plu/pxctest/pxctest 1 0%
#25490 tillig/mods/swig 1 0%
#25491 cultureamp/perform-cli/perform-cli 1 0%
#25492 expo/expo-utils/expo-yq 1 0%
#25493 clearblockchain/tap/glide 1 0%
#25494 kabouzeid/formulae/girara 1 0%
#25495 preendata/preen/preen@0.2.2 1 0%
#25496 athrunsun/linuxbinary/bat-binary 1 0%
#25497 dellisd/repo/porter 1 0%
#25498 xwmx/taps/alias-app 1 0%
#25499 tristansch1/flow-tracker/flow-tracker 1 0%
#25500 1995parham/tap/gotz 1 0%
#25501 drawpyo 1 0%
#25502 tomafro/public/deja 1 0%
#25503 aws/tap/_aws-sam-cli-nightly 1 0%
#25504 borispetrianik/noah/noahstrap 1 0%
#25505 loicrouchon/symly/symly 1 0%
#25506 lucasepe/yamldo/yamldo 1 0%
#25507 tplinkra/tap/hawkfire 1 0%
#25508 toonetown/extras/ips2crash 1 0%
#25509 chengluffy/appcu/appcu 1 0%
#25510 pamburus/tap/hl@0.28.0 1 0%
#25511 sjorek/php/composer23-php80 1 0%
#25512 pypy3 1 0%
#25513 homebrew/cask/wxmaxima@20.11.0 1 0%
#25514 guardian/devtools/fsbp-fix 1 0%
#25515 pamburus/tap-test/goreleaser-test@0.1.4 1 0%
#25516 shajra/formulae/yabai 1 0%
#25517 bbatsche/fisher/fisherman 1 0%
#25518 amyy54/taps/uformat 1 0%
#25519 seiji/formulas/aws-sessionmanager 1 0%
#25520 seiji/formulas/terragrunt 1 0%
#25521 brewsci/science/symphony 1 0%
#25522 hasktorch/libtorch-prebuild/libtorch-prebuild@2.3 1 0%
#25523 ldesignmedia/moodledocker/moodle-docker 1 0%
#25524 spotify/public/xclogparser 1 0%
#25525 farcloser/brews/openssh 1 0%
#25526 ceejbot/tap/md2nexus 1 0%
#25527 plume-lang/plume/plume 1 0%
#25528 kj54321/misc/gp-saml-gui 1 0%
#25529 homebrew/bundle/pnpm@8.14.1 1 0%
#25530 eclipse-zenoh/zenoh/zenoh-plugin-mqtt 1 0%
#25531 lancethomps/lancethomps/gostrap 1 0%
#25532 changelog-chug 1 0%
#25533 maven@3.2 1 0%
#25534 square/formula/percona-server 1 0%
#25535 scalr 1 0%
#25536 txn2/tap/rxtx 1 0%
#25537 afiller/pathmate/watchman@2023.02.13.00 1 0%
#25538 sendgrid/tap/chronicle-client 1 0%
#25539 kyrylokulyhin/cli-tools/ecs-exec 1 0%
#25540 pie-o-neer-studios/remote.console/remote.console 1 0%
#25541 homebrew/linux-fonts/font-mononoki 1 0%
#25542 dafuqtor/tap/barhide 1 0%
#25543 alvaro-aguirre-cl/esopipelines/fftw@3.3.9 1 0%
#25544 alvaro-aguirre-cl/esopipelines/erfa 1 0%
#25545 octave-app/octave-app/octave-qt5@9.0 1 0%
#25546 octave-app/octave-app/octave-octapp@8.3.0 1 0%
#25547 freecad/freecad/fc_bundle 1 0%
#25548 reduce-algebra/reduce-algebra/reduce-current 1 0%
#25549 homebrew/cask/cocoapods@1.11.3 1 0%
#25550 blendle/blendle/epp 1 0%
#25551 smithbh/tap/gitpage 1 0%
#25552 cassandra@3 1 0%
#25553 yundict/cli/yundict 1 0%
#25554 shivammathur/extensions/vld@8.4 1 0%
#25555 skrashevich/go2rtc/go2rtc 1 0%
#25556 hazelcast/hz/hazelcast@5.1.2 1 0%
#25557 ablankz/tap/bloader 1 0%
#25558 deno@1.43.1 1 0%
#25559 superterran/mach/mach 1 0%
#25560 b-ramsey/kali/cdpsnarf 1 0%
#25561 mohsen1/tap/yek 1 0%
#25562 dineshgowda24/dineshgowda/ecsnv 1 0%
#25563 cm3 1 0%
#25564 gflohr/homebrew/qgoda 1 0%
#25565 teaxyz/tea/pkgx 1 0%
#25566 kyoto-kanko/color-greeting/color_greeting 1 0%
#25567 mkhoeini/tap/fortune-mod 1 0%
#25568 aaaa777/tap/tun2socks 1 0%
#25569 llvm-hs/llvm/llvm-3.9 1 0%
#25570 jkawamoto/tap/vsop 1 0%
#25571 cloud-v/icestorm/icestorm 1 0%
#25572 oven-sh/bun/bun@0.6.14 1 0%
#25573 claui/public/wishfish 1 0%
#25574 elastic/tap/heartbeat-full 1 0%
#25575 morpheus-lab/morpheus-versions/morpheus 1 0%
#25576 homebrew/linux-fonts/font-tilt-warp 1 0%
#25577 shotanue/tap/markdot 1 0%
#25578 cxwx/cxbrew/geant4 1 0%
#25579 zalt50/core/gv 1 0%
#25580 kamaalio/tap/xctools 1 0%
#25581 quantonganh/tap/fe 1 0%
#25582 sequoia-chameleon-gnupg 1 0%
#25583 piano1029forks/mynewt/mynewt-newtmgr 1 0%
#25584 ranoiaetep/tap/yt-music 1 0%
#25585 loozhengyuan/tap/unwarp 1 0%
#25586 avrdude6 1 0%
#25587 vishaltelangre/tap/ff 1 0%
#25588 mutagen-io/mutagen/mutagen@0.15 1 0%
#25589 rami3l/tap/claveilleur 1 0%
#25590 doctorpoor/dasd/dasd 1 0%
#25591 pamburus/tap-test/goreleaser-test@0.1.3 1 0%
#25592 homebrew/cask/wxmaxima@20.11.1 1 0%
#25593 manelatun/catalina/gflags 1 0%
#25594 manelatun/catalina/python-setuptools 1 0%
#25595 manelatun/catalina/isl 1 0%
#25596 fredyshox/tools/anecompat 1 0%
#25597 paxosglobal/private/bastion-cli 1 0%
#25598 astronomer/tap/astro@1.18.1 1 0%
#25599 yugabyte/tap/yb-voyager@1.8.8 1 0%
#25600 climax-arc/tap/apple-music-discord-rpc 1 0%
#25601 drone/drone/drone@1.4.0 1 0%
#25602 viamrobotics/brews/viam-dialdbg 1 0%
#25603 speakeasy-api/tap/speakeasy@1.255.1 1 0%
#25604 speakeasy-api/tap/speakeasy@1.256.0 1 0%
#25605 chigraph/kf5/kf5-kplotting 1 0%
#25606 chigraph/kf5/kf5-kservice 1 0%
#25607 php-fpm@8.1 1 0%
#25608 php-fpm 1 0%
#25609 leberjs/tools/gr-test 1 0%
#25610 nohal/navutils/libbsb 1 0%
#25611 tholu/tap/finch 1 0%
#25612 cxwx/cxbrew/icecream_cpp 1 0%
#25613 callum-oakley/jfn/jfn 1 0%
#25614 homebrew/cask/swiftlint@0.53.0 1 0%
#25615 k3ii/tap/revq 1 0%
#25616 pulumi/tap/registrygen 1 0%
#25617 hyper8 1 0%
#25618 malleefoul/etc/hugin 1 0%
#25619 1debit/chime/ffctl 1 0%
#25620 ensembl/external/repeatmodeler 1 0%
#25621 linuxbrew/xorg/libglvnd 1 0%
#25622 hook-lang/hook/hook 1 0%
#25623 shinokada/teffects/teffects 1 0%
#25624 ultra-supara/sisakulint/sisakulint 1 0%
#25625 fujiapple852/trippy/trippy 1 0%
#25626 chornthorn/git-flow-pro/git-flow-pro 1 0%
#25627 yzi-afk/tap/bk 1 0%
#25628 manelatun/catalina/rust 1 0%
#25629 vinugawade/cli-tools/ms-bkp 1 0%
#25630 manelatun/catalina/swig 1 0%
#25631 uber/nanoscope/nanoscope 1 0%
#25632 erdemkosk/ignore-me/ignore-me 1 0%
#25633 pkryger/common/dontsleep 1 0%
#25634 johnnybyzhang/cloud-utils/cloud-localds 1 0%
#25635 rcmdnk/rcmdnkpac/gcp-tools 1 0%
#25636 whompyjaw/emacs-with-x/emacs 1 0%
#25637 conductorone/baton/baton-snowflake 1 0%
#25638 rcmdnk/rcmdnkpac/gtask 1 0%
#25639 wowu/tap/pro 1 0%
#25640 osvr/osvr/osvr-core 1 0%
#25641 sbresin/oldxmlsec/libxmlsec1 1 0%
#25642 brewsci/bio/sibelia 1 0%
#25643 danieljharvey/tools/tmux-mate 1 0%
#25644 geldata/tap/gel-cli-nightly 1 0%
#25645 4m1n0s/ffmpeg/ffmpeg 1 0%
#25646 chenrui333/tap/certok 1 0%
#25647 mdns-reflector-go 1 0%
#25648 mitghi/jetrocli/jetrocli 1 0%
#25649 mc-rtc/mc-rtc/sch-core 1 0%
#25650 mc-rtc/mc-rtc/state-observation 1 0%
#25651 linux-china/tap/maven-toolchains-cli 1 0%
#25652 jhunt/hacks/cdoc 1 0%
#25653 zeroslashone/v8/v8_py2@3.15 1 0%
#25654 nshipster/formulae/swift-gyb 1 0%
#25655 bv-brc/bv-brc-cli/bv-brc-cli@1.045 1 0%
#25656 sjorek/php/composer26-php82 1 0%
#25657 exaroth/liveboat/liveboat 1 0%
#25658 take-takashi/face-mosaic/face-mosaic 1 0%
#25659 christosgalano/christosgalano/delete-gh-workflow-runs 1 0%
#25660 flowscripter/tap/template-bun-executable 1 0%
#25661 homebrew/linux-fonts/font-comfortaa 1 0%
#25662 cxwx/cxbrew/annoy 1 0%
#25663 homebrew/linux-fonts/font-allerta-stencil 1 0%
#25664 homebrew/linux-fonts/font-abyssinica-sil 1 0%
#25665 migration-demo-wd/tap/adolc 1 0%
#25666 shaunplee/asic/tcl 1 0%
#25667 padok-team/tap/baywatch@0.3.1 1 0%
#25668 evertonstz/go-workflows/go-workflows 1 0%
#25669 liuxhit/selfuse/maven@3.6 1 0%
#25670 monochromegane/tap/mcp-bigquery-server 1 0%
#25671 sidaf/pentest/bettercap 1 0%
#25672 alesr/codetoprompt/ctp 1 0%
#25673 bl4cc4t/vsplugins/vsp-knlmeanscl 1 0%
#25674 stealthii/bats-core/bats-detik 1 0%
#25675 ssfa/brew/works-alias 1 0%
#25676 bwagner5/wagner/amictl 1 0%
#25677 thejumpcloud/tap/jcgo 1 0%
#25678 hzhangolemiss/taps/lammps-mac 1 0%
#25679 goodlittlescript/gls/ts 1 0%
#25680 gardener/tap/gardenctl 1 0%
#25681 gzagatti/tap/texlive 1 0%
#25682 infisical/get-cli/infisical@0.33.1 1 0%
#25683 malleefoul/etc/gtkmm+silly 1 0%
#25684 opmichael/firectl/firectl 1 0%
#25685 indirect/tap/weird_twitter 1 0%
#25686 octave-app/octave-app/octave-qt5@9.0.91 1 0%
#25687 kurtosis-tech/tap/kurtosis-cli@0.86.12 1 0%
#25688 shopify/shopify/secret-sender 1 0%
#25689 demitov/sshpass/sshpass 1 0%
#25690 danielbair/tap/backports 1 0%
#25691 thg-site-reliability-engineering/tap/infractl 1 0%
#25692 dvaumoron/tap/puzzleweaver 1 0%
#25693 jawshooah/pyenv/pyenv-alias 1 0%
#25694 kabel/pecl/php-raphf 1 0%
#25695 brewsci/bio/consel 1 0%
#25696 crunchydata/crunchytaps/pg_repack@16 1 0%
#25697 griffin-stewie/clg/clg 1 0%
#25698 brewsci/science/poretools 1 0%
#25699 wishingtreedev/wishingtree/blarg 1 0%
#25700 jheryer/tap/cheatsheetrs 1 0%
#25701 mmmommm/tap/goinit 1 0%
#25702 start9labs/tap/start-cli 1 0%
#25703 eliacereda/ros/sip@4 1 0%
#25704 chkuendig/zilf/zilf 1 0%
#25705 chavdim/taps/gollm 1 0%
#25706 homebrew/cask-versions/antlr@4.9.3 1 0%
#25707 devhatro/tap/tf-state-move 1 0%
#25708 krzko/tap/restmigrate 1 0%
#25709 pprint 1 0%
#25710 oait 1 0%
#25711 meain/meain/tojson 1 0%
#25712 jooyoungho/generate-nickname/generate_nickname 1 0%
#25713 sgsunder/personal/imgcat 1 0%
#25714 leonbohn/tap/knowledge-clustering 1 0%
#25715 brewforge/extras/tabby 1 0%
#25716 vincenthardouin/npm-bump/npm-bump 1 0%
#25717 marianina8/simplecli/simplecli 1 0%
#25718 zcutlip/teller/googerteller 1 0%
#25719 richardjennings/experimental/precrypt 1 0%
#25720 warexify/edk2-buildtools/csrstat 1 0%
#25721 platogo/tap/zube-cli 1 0%
#25722 fhopfensperger/tap/async-messaging-client 1 0%
#25723 sqlitebrowser/tap/db4subopenssl@3-x86_64 1 0%
#25724 brewsci/science/psmc 1 0%
#25725 python-pluggy 1 0%
#25726 python-pathspec 1 0%
#25727 runebookco/wand/wand 1 0%
#25728 homebrew/cask-versions/sst@0.0.481 1 0%
#25729 fortio/tap/proxy 1 0%
#25730 homebrew/cask-versions/sst@0.0.473 1 0%
#25731 cmake-3.29.2 1 0%
#25732 modworm/modworm/soil@1.0.0 1 0%
#25733 aegoroff/tap/copyto 1 0%
#25734 dmexe/ffmpeg/ffmpeg 1 0%
#25735 athrunsun/linuxbinary/discord 1 0%
#25736 pivotal/tap/trilogy 1 0%
#25737 marcinsdance/autocoder/autocoder 1 0%
#25738 pybritive 1 0%
#25739 quinn/tap/g 1 0%
#25740 benesch/os161/os161-gcc 1 0%
#25741 nikaro/tap/talosctl 1 0%
#25742 jarryshaw/tap/ssh-askpass 1 0%
#25743 fishtown-analytics/dbt/dbt-postgres@1.4.2 1 0%
#25744 keinos/apps/whereami 1 0%
#25745 termdbms 1 0%
#25746 tzvetkoff/php54/phpsh 1 0%
#25747 wordfence 1 0%
#25748 cyberonix 1 0%
#25749 kohkimakimoto/essh/essh 1 0%
#25750 tee-clc 1 0%
#25751 ajcodepranks/pranks/roblox-prank-cli 1 0%
#25752 openresty/brew/naxsi-nginx-module 1 0%
#25753 chikakakazu/tap/go-cli-switchbot 1 0%
#25754 rduo1009/test/adobe-fonts-revealer 1 0%
#25755 zetta/scalr-cli/scalr 1 0%
#25756 brewsci/bio/libgff 1 0%
#25757 dapr/tap/dapr-cli@1.11.0-rc.1 1 0%
#25758 xzoky/formulae-a7ex/xcresultparser 1 0%
#25759 zgracem/formulae/cowsay 1 0%
#25760 tim-rodgers/utils/awsassume 1 0%
#25761 douglassd/tap/ansible@9 1 0%
#25762 digitalspacestdio/php/php70-xhprof 1 0%
#25763 digitalspacestdio/php/php71-mcrypt 1 0%
#25764 brewsci/science/pspp 1 0%
#25765 f1ash/qt-virt-manager/spice-protocol 1 0%
#25766 akadir/gop/gop 1 0%
#25767 chantsune/tap/slice 1 0%
#25768 spmdestinations/tap/swift-xctoolchain-5.3 1 0%
#25769 athrunsun/linuxbinary/brave-browser 1 0%
#25770 xwmx/taps/swiftly 1 0%
#25771 gnostr-org/gnostr-org/gnostr-lookup 1 0%
#25772 homebrew/linux-fonts/font-aboriginal-sans 1 0%
#25773 homebrew/linux-fonts/font-zhudou-sans 1 0%
#25774 cqlabs/dcm/dcm@1.17.1 1 0%
#25775 dimpase/core/meson-python 1 0%
#25776 homebrew/cask-versions/maven@3.8.4 1 0%
#25777 openrtm/omniorb/omniorb-ssl-py38 1 0%
#25778 freemasen/tap/lua-comment-stripper 1 0%
#25779 docat-cli/docat/docat 1 0%
#25780 betomorrow/draft/pms 1 0%
#25781 cqlabs/dcm/dcm@1.8.4 1 0%
#25782 andycillin/tap/java-switcher 1 0%
#25783 elastic/oblt-cli/oblt-cli 1 0%
#25784 rfadnjdext/tap/ffmpeg 1 0%
#25785 bates64/brew/mips-linux-gnu-gcc 1 0%
#25786 athrunsun/linuxbinary/powershell-binary 1 0%
#25787 meterup/safe/curl 1 0%
#25788 astronomer/tap/astro@1.5.1 1 0%
#25789 cian911/switchboard/switchboard 1 0%
#25790 garrettsparks/core/node@18 1 0%
#25791 homebrew/linux-fonts/font-ark-pixel-16px-proportional 1 0%
#25792 fbkarsdorp/lamachine/colibri-core 1 0%
#25793 3scale/opentracing/jaeger-client 1 0%
#25794 amiaopensource/amiaos/cable-bible 1 0%
#25795 epidemicsound/epidemicsound/connect-go 1 0%
#25796 evanreilly/subway-surfers/subway-surfers 1 0%
#25797 ksesong/git-annex-archiver/git-annex-archiver 1 0%
#25798 spectralops/tap/preflight 1 0%
#25799 adrise/tubi/rancher-cli 1 0%
#25800 homebrew/linux-fonts/font-chiron-sung-hk 1 0%
#25801 yusukebe/tap/rj 1 0%
#25802 guitorri/tap/necpp 1 0%
#25803 libxml2@2.9.1 1 0%
#25804 freecad/freecad/boost-python3@1.82.0 1 0%
#25805 namebench 1 0%
#25806 little-angry-clouds/my-brews/particle 1 0%
#25807 moonstruckdrops/brew/unrar 1 0%
#25808 zineland/tap/zine 1 0%
#25809 cylinder3/cc/hysteria15 1 0%
#25810 gexclaude/tap/aareguru 1 0%
#25811 cylinder3/cc/xray2 1 0%
#25812 cylinder3/cc/xray3 1 0%
#25813 cylinder3/cc/xray5 1 0%
#25814 dbt-labs/dbt/dbt-bigquery@1.5.6 1 0%
#25815 eblot/sdr/rtl433 1 0%
#25816 sashkab/encfs/encfs 1 0%
#25817 wswenyue/apkparser/apkparser 1 0%
#25818 ssh-proxy 1 0%
#25819 aaron-212/tap/zig@0.13.0 1 0%
#25820 stek29/idevice/openssl@1.0 1 0%
#25821 sjorek/php/composer-php81@23 1 0%
#25822 sjorek/php/composer-php80@22 1 0%
#25823 shaishavgandhi/fab/fab 1 0%
#25824 hashicorp/tap/packer@1.8.6 1 0%
#25825 jonathangreen/xmlsec/libxmlsec1@1.2 1 0%
#25826 lou1306/formal/aiger 1 0%
#25827 datadog/tap/latest-datacenter-config 1 0%
#25828 python-colorama 1 0%
#25829 merthin/ced/cli 1 0%
#25830 python-dns 1 0%
#25831 david0/audio/blop-lv2 1 0%
#25832 octave-app/octave-app/octave-devel-octmex2407 1 0%
#25833 infisical/get-cli/infisical@0.16.3 1 0%
#25834 speakeasy-api/tap/speakeasy@1.353.0 1 0%
#25835 rendiere/mp3_converter/mp3_converter 1 0%
#25836 jvansanten/icecube/photospline 1 0%
#25837 itchyny/tap/log2hex 1 0%
#25838 hayao0819/tap/lico 1 0%
#25839 sjorek/php/composer24-php81 1 0%
#25840 sakebook/tap/pbssc 1 0%
#25841 kostyabunsberry/tap/xcodeclean 1 0%
#25842 amancevice/tap/rainbow-sh 1 0%
#25843 pinkynrg/stay-active/stay-active 1 0%
#25844 aconchillo/guile/guile-syntax-highlight 1 0%
#25845 majjoha/tap/voxctl 1 0%
#25846 k1low/tap/tmpmod 1 0%
#25847 bjenning04/tap/spring-boot 1 0%
#25848 stek29/idevice/iokit-utils 1 0%
#25849 brewsci/bio/iva 1 0%
#25850 microsoft/mssql-release/msodbcsql17@17.1.0.1 1 0%
#25851 medium/custom/ubik 1 0%
#25852 puppetlabs/puppet/kubectl-ran 1 0%
#25853 rmarganti/tap/secret-sync 1 0%
#25854 denji/nginx/small-light-nginx-module 1 0%
#25855 pkarashchenko/formulae/x86_64-xtensa-esp32-elf-gcc 1 0%
#25856 giantswarm/cd-cli/cd-cli 1 0%
#25857 luciditi/tap/envsetup 1 0%
#25858 eggplants/tap/xmas 1 0%
#25859 ecmwf/ecmwf/odc 1 0%
#25860 momarques/kibe/kibe 1 0%
#25861 jjuarez/tap/ikscc 1 0%
#25862 marcqualie/nginx/cache-purge-nginx-module 1 0%
#25863 playmer/formulae/xcresultparser@1.7.1 1 0%
#25864 yugabyte/yugabytedb/yb-voyager@1.7.2 1 0%
#25865 homebrew/cask-versions/mysql-connector-c++@8.0.33 1 0%
#25866 raulpopadineti/loripsum/loripsum 1 0%
#25867 fbkarsdorp/lamachine/wopr 1 0%
#25868 mopidy/mopidy/pyspotify 1 0%
#25869 survivrrhayde/file-flex/file-flex 1 0%
#25870 twilio/brew/twilio@4.0.1 1 0%
#25871 dynatrace/tools/dtswiftinstrumentor@8.295.1.1020 1 0%
#25872 zenspider/mailman/mailman 1 0%
#25873 iba-yusuke/tap/td 1 0%
#25874 blackjacx/formulae/asc 1 0%
#25875 cravid/tap/fsearch 1 0%
#25876 homebrew/linux-fonts/font-gentium-plus 1 0%
#25877 figuremarkets/tap/markets-private-relay 1 0%
#25878 brimdata/tap/zync 1 0%
#25879 fujiwara/tap/stretcher 1 0%
#25880 carerevolutions/tap/cr-cli 1 0%
#25881 draftbrew/tap/garage 1 0%
#25882 chigraph/kf5/kf5-kbookmarks 1 0%
#25883 chigraph/kf5/kf5-kitemmodels 1 0%
#25884 frank-mayer/tap/gut 1 0%
#25885 pipejesus/pipejesus/towebp 1 0%
#25886 pivotal-legacy/tap/git-together 1 0%
#25887 dbt-labs/dbt/dbt-redshift@1.3.0 1 0%
#25888 guardtime/ksi/ksi-tools 1 0%
#25889 gabrielfeo/50-72/fifty-seventy-two-cli 1 0%
#25890 eliacereda/ros/pyqt@5-3.11 1 0%
#25891 erictsiliacos/tap/portal 1 0%
#25892 gibfahn/tap/check-sieve 1 0%
#25893 typesense/tap/typesense-server@0.12.0 1 0%
#25894 superernd/cos/glibc@2.40 1 0%
#25895 marcqualie/nginx/array-var-nginx-module 1 0%
#25896 sanoyo/tap/griffin 1 0%
#25897 caffeine-addictt/tap/video-manager 1 0%
#25898 chroju/tap/nature-remo-cli 1 0%
#25899 digitalspacestdio/nextgen-devenv/digitalspace-acme-dns 1 0%
#25900 directx 1 0%
#25901 ritikdhasmana/ritikd/godo 1 0%
#25902 anjia0532/tap/maven 1 0%
#25903 homebrew/cask/icu4c@71 1 0%
#25904 veracode/tap/veracode-cli@2.32.0 1 0%
#25905 clojure/tools/clojure@1.10.3.1007 1 0%
#25906 digitalspacestdio/common/sshpass 1 0%
#25907 vitorgalvao/tiny-scripts/human-media-time 1 0%
#25908 speakeasy-api/tap/speakeasy@1.381.4 1 0%
#25909 ausmartway/tfcvar-sec/tfcvar-sec 1 0%
#25910 bwilczynski/tap/strava-cli 1 0%
#25911 speakeasy-api/tap/speakeasy@1.387.0 1 0%
#25912 dtan4/tools/s3url 1 0%
#25913 ilovezfs/boneyard/asm6 1 0%
#25914 laurent22/massren/massren 1 0%
#25915 qasim/tools/flock 1 0%
#25916 speakeasy-api/tap/speakeasy@1.390.3 1 0%
#25917 mwatelescope/tap/casacore-data 1 0%
#25918 hitochan777/tap/bjc 1 0%
#25919 echocrow/tap/unix 1 0%
#25920 tueda/form/form-fmft 1 0%
#25921 tueda/form/form-mincer 1 0%
#25922 queriumcorp/tap/smarter 1 0%
#25923 cqlabs/dcm/dcm@1.12.1 1 0%
#25924 miguelaferreira/tools/devex-cli 1 0%
#25925 uenob/qemu-hvf/x86_64-linux-gnu-gcc 1 0%
#25926 diffblue/cbmc/cbmc@5.81.0 1 0%
#25927 flagship-io/flagship/flagship 1 0%
#25928 tuba 1 0%
#25929 zalt50/core/ebu 1 0%
#25930 orzgeeker/core/orztinypng 1 0%
#25931 geoff2k/deluge-meta/deluge-meta 1 0%
#25932 x43x61x69/nxcapsrv/nxcapsrv 1 0%
#25933 sidaf/pentest/tater 1 0%
#25934 can3p/cl-journal/cl-journal 1 0%
#25935 oven-sh/bun/bun@1.1.11 1 0%
#25936 oven-sh/bun/bun@1.0.6 1 0%
#25937 oven-sh/bun/bun@1.0.27 1 0%
#25938 zdrei-com/cli/z3cli 1 0%
#25939 aconchillo/guile/guile-sqlite3 1 0%
#25940 kabel/pecl/php@8.2-pdo-sqlsrv 1 0%
#25941 thomaspoignant/tap/yamllint-checkstyle 1 0%
#25942 jamescun/formulas/jwt 1 0%
#25943 scala@2.11 1 0%
#25944 gnostr-org/gnostr-org/gnostr-cat 1 0%
#25945 heavyblade/riakg/riakg 1 0%
#25946 oxy-hq/oxy/oxy 1 0%
#25947 thebogusman/tap/gsts 1 0%
#25948 textiohq/tools/textioaws 1 0%
#25949 lushdigital/tools/modelgen 1 0%
#25950 guardian/devtools/bucket-blocker 1 0%
#25951 cro/repo/libxmlsec1@1.2.39 1 0%
#25952 speakeasy-api/tap/speakeasy@1.281.0 1 0%
#25953 speakeasy-api/tap/speakeasy@1.280.2 1 0%
#25954 tomozo6/tap/ec2ssh 1 0%
#25955 harehare/tap/restui 1 0%
#25956 vanyauhalin/shell_gpt/shell_gpt@1.0.1 1 0%
#25957 bbkane/tap/example-go-cli 1 0%
#25958 cqlabs/dcm/dcm@1.11.0 1 0%
#25959 mmcquillan/tools/lawsg 1 0%
#25960 mgroff2/merge-kubeconfig/merge-kubeconfig 1 0%
#25961 nickolasburr/pfa/battery 1 0%
#25962 ptrskay3/tap/msde-cli 1 0%
#25963 sjincho/et/et 1 0%
#25964 sportngin/homebrew/percona-server55 1 0%
#25965 fiberplane/tap/fp 1 0%
#25966 klion26/tap/pqrs-learn 1 0%
#25967 homebrew/linux-fonts/font-monoid 1 0%
#25968 sergeichestakov/taproom/todo-to-issue 1 0%
#25969 bradyjoslin/goreltest/goreltest 1 0%
#25970 koharakazuya/misc/json-ellipsis 1 0%
#25971 burnt-labs/xion/xiond@0.3.0 1 0%
#25972 sqlitebrowser/tap/db4subsqlcipher 1 0%
#25973 knpwrs/tap/guy 1 0%
#25974 omega-rpg 1 0%
#25975 ards 1 0%
#25976 homebrew/linux-fonts/font-zen-kurenaido 1 0%
#25977 dmnkgrc/siu/siu 1 0%
#25978 youtube-dlc 1 0%
#25979 bluebandit21/etterna/openssl@1.1 1 0%
#25980 dholm/sdr/multimon-ng 1 0%
#25981 bentohset/tap/gnm 1 0%
#25982 brujoand/sbp/sbp 1 0%
#25983 victoryang00/riscv/riscv-gnu-toolchain 1 0%
#25984 autobrew/cran/zstd-static 1 0%
#25985 homebrew/cask-versions/openssh@9.3p1 1 0%
#25986 homebrew/cask-versions/openssh@9.5p1 1 0%
#25987 blacktop/tap/graboid 1 0%
#25988 rossedman/tap/shellama 1 0%
#25989 dlemper/forensictools/aff4fuse 1 0%
#25990 skcin7/php/php@7.4 1 0%
#25991 tditlu/amiga/ira 1 0%
#25992 spinningarrow/tap/git-pair 1 0%
#25993 delixfe/cf-old-cli/cf-cli@6.49.0 1 0%
#25994 gnames/gn/dwca 1 0%
#25995 quackduck/tap/ncis 1 0%
#25996 wxmac 1 0%
#25997 mcs07/cheminformatics/cluster 1 0%
#25998 kabel/php-ext/php@8.1-enchant 1 0%
#25999 twilio-internal/otk/kubectl-otk 1 0%
#26000 mariadb@10.7 1 0%
#26001 thezeroalpha/formulae/czkawka 1 0%
#26002 dbt-labs/dbt/dbt-bigquery@1.3.0 1 0%
#26003 taylormonacelli/tools/polarpaw 1 0%
#26004 kurtosis-tech/tap/kurtosis-cli@0.89.2 1 0%
#26005 gankra/testtap/cargodisttest-custom 1 0%
#26006 jwezel/software/ansi 1 0%
#26007 apitoolkit/testkit/testkit 1 0%
#26008 curl@7.81.0 1 0%
#26009 jsmartx/giter/giter 1 0%
#26010 tsirysndr/tap/envhub 1 0%
#26011 arjundandagi/brew/cncfctl 1 0%
#26012 indilib/indi/libfftw3 1 0%
#26013 cathyjf/sunshine/sunshine 1 0%
#26014 janiokq/nfind/nfind 1 0%
Fork me on GitHub